中国半导体行业协会封装分会会刊

中国电子学会电子制造与封装技术分会会刊

导航

电子与封装 ›› 2022, Vol. 22 ›› Issue (2): 020308 . doi: 10.16257/j.cnki.1681-1070.2022.0212

• 电路与系统 • 上一篇    下一篇

基于UVM的PCI Express总线控制器验证平台

赵 赛;闫 华;丛红艳;张艳飞   

  1. 无锡中微亿芯有限公司,江苏 无锡 ?214072
  • 收稿日期:2021-08-11 出版日期:2022-02-23 发布日期:2022-01-23
  • 作者简介:赵赛(1990—),女,安徽安庆人,硕士,工程师,现从事FPGA和SoC验证工作。

UVM-Based VerificationPlatform for PCI Express Bus Controller

ZHAO Sai, YAN Hua, CONG Hongyan, ZHANG Yanfei   

  1. WuXiE-Tech Company, Wuxi 214072, China
  • Received:2021-08-11 Online:2022-02-23 Published:2022-01-23

摘要: 针对高速外设部件互连(Peripheral Component Interconnect Express,PCIe)总线控制器数据格式复杂、链路状态繁多的特点,提出了基于System Verilog语言的通用验证方法学(Universal Verification Methodology,UVM)验证平台。相较于传统定向验证方法,该验证平台中的验证用例使用受约束的随机方式对PCIe模块进行充分验证,能自动进行结果比对,并在回归测试中自动收集覆盖率数据。结果表明,该验证平台可以快速定位设计缺陷,在兼顾较好的可重用性和可配置性的同时,实现覆盖率验证目标,大大提高验证效率。

关键词: UVM, System Verilog语言, PCIe

Abstract: For peripheral component interconnect express (PCIe) bus controller, aiming at the characteristics of complicated data format and various link state, a universal verification methodology (UVM) based System Verilog verification platform is built. Different from traditional directional verification platform, this verification platform generates constrained random test case, verifies PCIe bus controller comprehensively and analyzes result and collects functional coverage automatically. According to the results of verification, this verification platform can efficiently find out bugs in design, fulfill coverage requirement, and improve verification efficiency with excellent configurability and reusability.

Key words: UVM, SystemVerilog, PCIe

中图分类号: