中国半导体行业协会封装分会会刊

中国电子学会电子制造与封装技术分会会刊

导航

电子与封装 ›› 2017, Vol. 17 ›› Issue (10): 9 -12. doi: 10.16257/j.cnki.1681-1070.2017.0117

• 封装、组装与测试 • 上一篇    下一篇

一种FPGA芯片中DSP模块的内建自测试方法

孙洁朋,魏建民,闫 华,丛红艳   

  1. 中国电子科技集团公司第五十八研究所,江苏无锡 214072
  • 收稿日期:2017-05-12 出版日期:2017-10-20 发布日期:2017-10-20
  • 作者简介:孙洁朋(1987—),男,河南巩义人,硕士,助理工程师,现从事FPGA芯片的验证和测试工作。

A New Method of Built-In Self-Test of DSP in FPGAs

SUN Jiepeng,WEIJianmin,YAN Hua,CONG Hongyan   

  1. China Electronics Technology Group Corporation No.58 Research Institute,Wuxi 214072,China
  • Received:2017-05-12 Online:2017-10-20 Published:2017-10-20

摘要: 提出了一种针对Xilinx Virtex-4/5系列FPGA芯片中嵌入式数字信号处理器(DSP)的内置自检测试(BIST)和故障诊断方法。该方法可以对DSP电路中乘法器和加法器进行有效的测试,缩短测试时间,减少工作量。同时通过更改DSP的配置信息来实现全芯片DSP的功能测试,提高了DSP模块的测试故障覆盖率。

关键词: 内置自检测, 乘法器测试, 加法器测试, DSP, FPGA, Virtex-4

Abstract: In the paper,a new method of Built-In Self-Test(BIST)for testing and diagnosing the embedded digital signal processors(DSPs)in Xilinx Virtex-4/5 series Field Programmable Gate Arrays(FPGAs)is presented.The method can effectively test the multiplier and adder in the DSP circuit,shorten the test time and reduce the workload.Meanwhile,the function test of the whole chip DSP can be realized by changing the configuration information of the DSP,and the test fault coverage of the DSP module is improved.

Key words: built-in self-test, multiplier test, adder test, digital signal processor, field programmable gate array, Virtex-4

中图分类号: