[1] 发展改革委网站. 国家发展改革委高技术司负责同志就实施“东数西算”工程答记者问[EB/OL]. (2022-02-17) [2023-12-20]. http://www.gov.cn/zhengce/2022-02/17/content_5674343.htm. [2] IEEE IRDSTM. IRDSTM 2022 edition[EB/OL]. [2023-12-20]. https://irds.ieee.org/editions/2022. [3] MARQUES D, DUARTE H, ILIC A, et al. Performance analysis with cache-aware roofline model in intel advisor[C]// 2017 International Conference on High Performance Computing & Simulation (HPCS), Genoa, Italy, 2017: 898-907. [4] ELSHERBINI A, LIFF S, SWAN J, et al. Hybrid bonding interconnect for advanced heterogeneously integrated processors[C]// 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 1014-1019. [5] IEEE IRDSTM. IRDSTM 2021: more Moore [EB/OL]. [2023-12-20]. https://irds.ieee.org/editions/2021/more-moore. [6] WIEMER M, HAUBOLD M, JIA C P, et al. Developments trends in the field of wafer bonding technologies[J]. ECS Transactions, 2008, 16(8): 81-92. [7] LAU J H. Recent advances and trends in advanced packaging[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2022, 12(2): 228-252. [8] TONG Q Y, JR G G F, ENQUIST P M. Method for low temperature bonding and bonded structure: WO2001061743A1[P/OL]. (2001-08-23) [2023-12-20]. https://patents.google.com/patent/WO2001061743A1/en. [9] DERAKHSHANDEH J, HOU L, DE PRETER I, et al. Die to wafer 3D stacking for below 10 μm pitch microbumps[C]// 2016 IEEE International 3D Systems Integration Conference (3DIC), San Francisco, CA, 2016: 1-4. [10] WANG L, FOUNTAIN G, LEE B, et al. Direct Bond Interconnect (DBI?) for fine-pitch bonding in 3D and 2.5D integrated circuits[C]// 2017 Pan Pacific Microelectronics Symposium (Pan Pacific), Kauai, HI, USA 2017: 1-6. [11] SUAREZ BERRU J J S, NICOLAS S, BRESSON N, et al. Demonstration of a wafer level face- to-back (F2B) fine pitch Cu-Cu hybrid bonding with high density TSV for 3D integration applications[C]// 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2023: 97-102. [12] LIN X W, MOROZ V, XU X, et al. Heterogeneous integration enabled by the state-of-the-art 3DIC and CMOS technologies: design, cost, and modeling[C]//2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2021: 3.4.1-3.4.4. [13] Ziptronix.com. DBI wafer-to-wafer hybrid bonding. [EB/OL]. [2023-12-20]. https://adeia.com/dbi-wafer-to-wafer-hybrid-bonding. [14] CHAU R. Process and packaging innovations for Moore’s law continuation and beyond[C]// 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019: 1.1.1-1.1.6. [15] SINHA S, HUNG S, FISHER D, et al. A high-density logic-on-logic 3DIC design using face-to-face hybrid wafer-bonding on 12 nm FinFET process[C]// 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2020: 15.1.1-15.1.4. [16] 张明辉, 高丽茵, 刘志权, 等. 先进封装铜-铜直接键合技术的研究进展[J]. 电子与封装, 2023, 23(3): 030106. [17] LEE B, MROZEK P, FOUNTAIN G, et al. Nanoscale topography characterization for direct bond interconnect[C]//2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2019: 1041-1046. [18] BEYNE E, KIM S W, PENG L, et al. Scalable, sub 2 μm pitch, Cu/SiCN to Cu/SiCN hybrid wafer-to-wafer bonding technology[C]// 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017: 32.4.1-32.4.4. [19] KENNES K, PHOMMAHAXAY A, GUERRERO A, et al. Introduction of a new carrier system for collective die-to-wafer hybrid bonding and laser-assisted die transfer[C]//2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2020: 296-302. [20] TIPPABHOTLA S K, JI L, HAN Y. Numerical simulation of Cu/polymer-dielectric hybrid bonding process using finite element analysis[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 1695-1703. [21] GUTMANN R J, MCMAHON J J, RAO S, et al. Wafer-level via-first 3D integration with hybrid-bonding of Cu/BCB redistribution layers[C]// International Wafer Level Packaging Congress (IWLPC), New York, USA, 2005: 122-127. [22] YONEDA S, ADACHI K, KOBAYASHI K, et al. A novel photosensitive polyimide adhesive material for hybrid bonding processing[C]//2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 680-686. [23] SHIRASAKA T, OKUDA T, SHIBATA T, et al. Comprehensive study on advanced chip on wafer hybrid bonding with copper/polyimide systems[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 317-323. [24] HE R, FUJINO M, AKAIKE M, et al. Combined surface activated bonding using H-containing HCOOH vapor treatment for Cu/adhesive hybrid bonding at below 200 ℃[J]. Applied Surface Science, 2017, 414: 163-170. [25] LIU C M, LIN H W, CHU Y C, et al. Low-temperature direct copper-to-copper bonding enabled by creep on highly (111)-oriented Cu surfaces[J]. Scripta Materialia, 2014, 78: 65-68. [26] LIU C M, LIN H W, HUANG Y S, et al. Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu[J]. Scientific Reports, 2015, 5: 9734. [27] CHIU W L, LEE O H, CHIANG C W, et al. Low temperature wafer-to-wafer hybrid bonding by nanotwinned copper[C]//2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 365-370. [28] HSIAO C C, FU H C, CHIANG C W, et al. Feasibility study of nanotwinned copper and adhesive hybrid bonding for heterogeneous integration[C]//2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 445-450. [29] JOUVE A, LAGOUTTE E, CROCHEMORE R, et al. A reliable copper-free wafer level hybrid bonding technology for high-performance medical imaging sensors[C]//2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2020: 201-209. [30] UTSUMI J, IDE K, ICHIYANAGI Y. Cu/SiO2 hybrid bonding obtained by surface-activated bonding method at room temperature using Si ultrathin films[J]. Micro and Nano Engineering, 2019, 2: 1-6. [31] SHIGETOU A, SUGA T. Modified diffusion bonding of chemical mechanical polishing Cu at 150 ℃ at ambient pressure[J]. Applied Physics Express, 2009, 2: 056501. [32] HE R, SUGA T. Effects of Ar plasma and Ar fast atom bombardment (FAB) treatments on Cu/polymer hybrid surface for wafer bonding[C]// 2014 International Conference on Electronics Packaging (ICEP), Toyama, Japan, 2014: 78-81. [33] SHIGETOU A, SUGA T. Vapor-assisted surface activation method for homo- and heterogeneous bonding of Cu, SiO2, and polyimide at 150 ℃ and atmospheric pressure[J]. Journal of Electronic Materials, 2012, 41(8): 2274-2280. [34] HE R, FUJINO M, YAMAUCHI A, et al. Combined surface activated bonding technique for low-temperature Cu/dielectric hybrid bonding[J]. ECS Journal of Solid State Science and Technology, 2016, 5(7): 419-424. [35] HUNG T H, KANG T C, MAO S Y, et al. Investigation of wet pretreatment to improve Cu-Cu bonding for hybrid bonding applications[C]//2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 700-705. [36] HU L X, GOH S C K, TAN C S. Ar/N2 plasma induced metastable CuxNy for Cu-Cu direct bonding[J]. ECS Transactions, 2020, 98(4): 203-210. [37] PARK H, PARK M, SEO H K, et al. Development of CMOS-compatible low temperature Cu bonding optimized by the response surface methodology[C]// 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2020: 1474-1479. [38] SEO H K, EUNKYUNG KIM S, KIM G, et al. Effects of two-step plasma treatment on Cu and SiO2 surfaces for 3D bonding applications[C]// 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2020: 1677-1683. [39] HWANG B, KIM S, LEE J, et al. A study on the surface activation of Cu and oxide for hybrid bonding joint interface[C]// 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2023: 78-82. [40] KANG Q, WANG C, ZHOU S, et al. Low-temperature co-hydroxylated Cu/SiO2 hybrid bonding strategy for a memory-centric chip architecture[J]. ACS Applied Materials & Interfaces, 2021, 13(32): 38866-38876. [41] NIU F F, WANG X B, YANG S H, et al. Low-temperature Cu/SiO2 hybrid bonding based on Ar/H2 plasma and citric acid cooperative activation for multi-functional chip integration[J]. Applied Surface Science, 2024, 648: 159074. [42] IACOVO S, PENG L, NAGANO F, et al. Characterization of bonding activation sequences to enable ultra-low Cu/SiCN wafer level hybrid bonding[C]//2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 2097-2104. [43] KANG Q S, LI G, LI Z D, et al. Surface co-hydrophilization via ammonia inorganic strategy for low-temperature Cu/SiO2 hybrid bonding[J]. Journal of Materials Science & Technology, 2023, 149: 161-166. [44] WATABE Y, GOTO F, UOMOTO M, et al. Atomic diffusion bonding in air using Ag films[J]. Japanese Journal of Applied Physics, 2022, 61: SF1003. [45] SHIMATSU T, UOMOTO M. Atomic diffusion bonding of wafers with thin nanocrystalline metal films[J]. Journal of Vacuum Science Technology B: Microelectronics and Nanometer Structures, 2010, 28(4): 706-714. [46] LIU D M, CHEN P C, HSIUNG C K, et al. Low temperature Cu/SiO2 hybrid bonding with metal passivation[C]//2020 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 2020: 1-2. [47] BREEDEN M, WANG V, SPIEGELMAN J, et al. Proximity effects of the selective atomic layer deposition of cobalt on the nanoscale: implications for interconnects[J]. ACS Applied Nano Materials, 2021, 4(8): 8447-8454. [48] MANLEY M, DEVEREAUX Z J, WANG V, et al. Towards selective cobalt atomic layer deposition for chip-to-wafer 3D heterogeneous integration[C]// 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2023: 374-378. [49] KAGAWA Y, FUJII N, AOYAGI K, et al. Novel stacked CMOS image sensor with advanced Cu2Cu hybrid bonding[C]// 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2016: 8.4.1-8.4.4. [50] KAGAWA Y, KAMIBAYASHI T, YAMANO Y, et al. Development of face-to-face and face-to-back ultra-fine pitch Cu-Cu hybrid bonding[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 306-311. [51] KAGAWA Y, FUJII N, AOYAGI K, et al. An advanced Cu-Cu hybrid bonding for novel stacked CMOS image sensor[C]// 2018 IEEE 2nd Electron Devices Technology and Manufacturing Conference (EDTM), Kobe, Japan, 2018: 65-67. [52] KAGAWA Y, HASHIGUCHI H, KAMIBAYASHI T, et al. Impacts of misalignment on 1 μm pitch Cu-Cu hybrid bonding[C]// 2020 IEEE International Interconnect Technology Conference (IITC), San Jose, CA, USA, 2020: 148-150. [53] OIKE Y. Evolution of image sensor architectures with stacked device technologies[J]. IEEE Transactions on Electron Devices, 2022, 69(6): 2757-2765. [54] FURUSE S, FUJII N, KOTOO K, et al. Behavior of bonding strength on wafer-to-wafer Cu-Cu hybrid bonding[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 591-594. [55] PARK J, LEE B, LEE H, et al. Wafer to wafer hybrid bonding for DRAM applications[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 126-129. [56] RIM D, LEE B, PARK J, et al. The Wafer bonding yield improvement through control of SiCN film composition and Cu pad shape[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 674-678. [57] KIM H, KIM J, KIM Y, et al. Process and design optimization for hybrid Cu bonding void[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 194-197. [58] LEE S, JEE Y, PARK S, et al. A study on memory stack process by hybrid copper bonding (HCB) technology[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 1085-1089. [59] YOLANDA D B L. Wafer to wafer bonding to increase memory density[C]// 2022 China Semiconductor Technology International Conference (CSTIC), Shanghai, China, 2022: 1-4. [60] BEYNE E, MILOJEVIC D, VAN DER PLAS G, et al. 3D SoC integration, beyond 2.5D chiplets[C]// 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2021: 3.6.1-3.6.4. [61] GAO G L, MIRKARIMI L, FOUNTAIN G, et al. Die to wafer hybrid bonding for chiplet and heterogeneous integration: die size effects evaluation-small die applications[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 1975-1981. [62] WUU J, AGARWAL R, CIRAULA M, et al. 3D V-cache: the implementation of a hybrid-bonded 64 MB stacked cache for a 7 nm x86-64 CPU[C]// 2022 IEEE International Solid- State Circuits Conference (ISSCC), San Francisco, CA, USA, 2022: 428-429. [63] AGARWAL R, CHENG P, SHAH P, et al. 3D packaging for heterogeneous integration[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 1103-1107. |