[1] 戴锦文, 缪小勇. 摩尔定律的过去、现在和未来[J]. 电子与封装, 2015, 15(10):30-34.
[2] 赵宝功, 徐玉洁, 屈凌翔. 基于NOC技术的多核研究[J]. 电子与封装, 2015, 15(11):34-38.
[3] GHARACHORLOO K, LENOSKI D, LAUDON J, et al. Memory consistency and event ordering in scalable shared-memory multiprocessors[J]. ACM SIGARCH Computer Architecture News, 1995, 18(3a):15-26.
[4] 汪健, 张磊, 王少轩,等. 多核处理器核间高速通讯架构的研究[J]. 电子与封装, 2011, 11(6):46-53.
[5] AGARWAL N, PEH L S, JHA N K. In-network snoop ordering (INSO): Snoopy coherence on unordered interconnects[C]// High Performance Computer Architecture, 2009. HPCA 2009. IEEE 15th International Symposium on. IEEE, 2009.
[6] CHEN C H O, PARK S, SUBRAMANIAN S, et al. SCORPIO: 36-core shared memory processor demonstrating snoopy coherence on a mesh interconnect[C]// 2014 IEEE Hot Chips 26 Symposium (HCS). IEEE, 2014.
[7] AGARWAL N, PEH L S, JHA N K. In-network coherence filtering: Snoopy coherence without broadcasts[C]//42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA. ACM, 2009.
[8] UDIPI A N, MURALIMANOHAR N, BALASUBRAMONIAN R. Towards scalable, energy-efficient, bus-based on-chip networks[C]//HPCA-16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, Bangalore, 2010:1-12.
[9] ZHAO H, JANG O, DING W, et al. A hybrid NoC design for cache coherence optimization for chip multiprocessors[C]//DAC Design Automation Conference 2012, San Francisco, CA, 2012:834-842.
[10] 张阿敏. 基于片上网络的众核高速缓存一致性研究[D].合肥:合肥工业大学,2018.
[11] BARROSO L A, GHARACHORLOO K, MCNAMARA R, et al. Piranha: a scalable architecture based on single-chip multiprocessing[C]//Proceedings of 27th International Symposium on Computer Architecture, Vancouver, BC, Canada, 2000:282-293.
[12] FERDMAN M, LOTFIKAMRAN P, BALET K, et al. Cuckoo directory: A scalable directory for many-core systems[C]//2011 IEEE 17th International Symposium on High Performance Computer Architecture, San Antonio, TX, 2011:169-180.
[13] GUPTA A, WEBER W D, MOWRY T. Reducing memory and traffic requirements for scalable directory-based cache coherence schemes[M]// Dubois M., Thakkar S. Scalable shared memory multiprocessors. Boston : Springer ,1992:167-192.
[14] 吴健虢, 陈海燕, 刘胜,等. 多核Cache稀疏目录性能提升方法综述[J]. 计算机工程与科学, 2019, 41(3):5-12.
[15] GUO S L, WANG H X, XUE Y B, et al. Hierarchical cache directory for CMP[J]. Journal of Computer Science & Technology, 2010, 25(2):246-256.
[16] ZEBCHUK J, SRINIVASAN V, QURESHI M K, et al. A tagless coherence directory[C]// IEEE/ACM International Symposium on Microarchitecture. ACM, 2009.
[17] DEMETRIADES S, CHO S. Stash directory: A scalable directory for many-core coherence[C]//IEEE International Symposium on High Performance Computer Architecture. IEEE, 2014.
[18] SANCHEZ D, KOZYRAKIS C. SCD: A scalable coherence directory with flexible sharer set encoding[C]//In Proceedings of the 2012 IEEE 18th International Symposium on High-Performance Computer Architecture (HPCA ’12). IEEE Computer Society, USA, 2012.
[19] VALLS J, ROS A, SAHUQUILLO J, et al. PS directory: a scalable multilevel directory cache for CMPs[J]. Journal of Supercomputing, 2015, 71(8):2847-2876.
[20] ALISAFAEE M. Spatiotemporal Coherence Tracking[C]// Microarchitecture (MICRO), 2012 45th Annual IEEE/ACM International Symposium on. ACM, 2012.
[21] ZHAO H, SHRIRAMAN A, DWARKADAS S. SPACE: Sharing pattern-based directory coherence for multicore scalability[C]//International Conference on Parallel Architecture & Compilation Techniques. IEEE, 2010.
[22] ROS A, ACACIO M E, JOSé M G. DiCo-CMP: Efficient cache coherency in tiled CMP architectures[C]//IEEE International Symposium on Parallel & Distributed Processing. IEEE, 2008.
[23] LU Z, YIN B, JANTSCH A. Connection-oriented multicasting in wormhole-switched networks on chip[C]//Emerging VLSI Technologies and Architectures, 2006. IEEE Computer Society Annual Symposium on. IEEE, 2006.
[24] JERGER N E, PEH L S, LIPASTI M. Virtual circuit tree multicasting[J]. Acm Sigarch Computer Architecture News, 2008, 36(3):229-240.
[25] TIWARI B, YANG M, JIANG Y, et al. Efficient on-chip multicast routing based on dynamic partition merging[C]//28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP), V?ster?s, Sweden, 2020.
[26] JOARDAR B K, DURAISAMY K, PANDE P P. High performance collective communication-aware 3D network-on-chip architectures[C]//Design, Automation & Test in Europe Conference & Exhibition. IEEE, 2018.
[27] 马胜. Cache一致性片上网络路由算法和流控机制优化关键技术研究[D].长沙:国防科学技术大学, 2014.
[28] AL-WAISI Z, AGYEMAN M O. An overview of on-chip cache coherence protocols[C]// Intelligent Systems Conference, 2017.
[29] PUGSLEY S H, SPJUT J B, NELLANS D W, et al. SWEL: hardware cache coherence protocols to map shared data onto shared caches[C]//19th International Conference on Parallel Architecture and Compilation Techniques (PACT 2010), Vienna, Austria, September, 2010:11-15.
[30] MARTIN M, HILL D, DAVID W. Token coherence: Low-latency coherence on unordered interconnects[D]. Utah: University of Utah, 2012.
[31] JOSHI A D, INDRAJEET S, RAMASUBRAMANIAN N, et al. Analysis of multi-core cache coherence protocols from energy and performance perspective[C]//International Conference on Recent Innovations in Signal Processing & Embedded Systems, 2017.
[32] MASING L , SRIVATSA A , KREB F , et al. In-NoC circuits for low-latency cache coherence in distributed shared-memory architectures[C]// 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 2018:138-145.
[33] CHAVES T M, CARARA E A, MORAES F G. Exploiting multicast messages in cache-coherence protocols for NoC-based MPSoCs[C]// International Workshop on Reconfigurable Communication-Centric Systems-on-Chip, 2011:1-6.
[34] DAVIDE G, PAOLO, M, LUCA P C. NoC-based support of heterogeneous cache-coherence models for accelerators[C]//International Symposium on Networks-on-Chip, Torino, Italy, 2018: 1-8.
[35] HAN X, FU Y, JIANG J. Reconfigurable MPB combined with cache coherence protocol in many-core[C]//2016 IEEE Advanced Information Management, Communicates, Electronic and Automation Control Conference (IMCEC), Xi'an, 2016:385-388.
[36] MENEZO L G, PUENTE V, GREGORIO J. Flask coherence: A morphable hybrid coherence protocol to balance energy, performance and scalability[C]//21st International Symposium on High Performance Computer Architecture (HPCA), Burlingame, CA, 2015:198-209. |