[1] KIM N G, CHO H H, EUN C M, et al. Performance improvement using two level branch predictor on the mobile processor[C]// 2015 IEEE International Conference on Consumer Electronics, Taiwan, IEEE, 2015. [2] IYER R, DE V, ILLIKKAL R, et al. Advances in microprocessor cache architectures over the last 25 years[J]. IEEE Micro, 2021, 41(6): 78-88. [3] PATRICK C, SONG S C, KHAN I, et al. From system-on-chip (SoC) to system on multi-chip (SoMC) architectures: Scaling integrated systems beyond the limitations of deep-submicron single chip technologies[C]// 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, HI, USA, 2022: 1-2. [4] HENNESSY J L. The 50 year history of the microprocessor as five technology eras[J]. IEEE Micro, 2021, 41(6): 20-21. [5] EECKHOUT L. Computer architecture performance evaluation methods[M]. Computer Architecture Performance Evaluation Methods: Springer, 2010. [6] AKRAM A, SAWALHA L. A survey of computer architecture simulation techniques and tools[J]. IEEE Access, 2019, 7: 78120-78145. [7] AUSTIN T, LARSON E, ERNST D. SimpleScalar: An infrastructure for computer system modeling[J]. Computer, 2002, 35(2): 59-67. [8] UBAL R, SAHUQUILLO J, PETIT S, et al. Multi2Sim: A simulation framework to evaluate multicore-multithreaded processors[C]// 19th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD'07), Gramado, Brazil, 2007: 62-68. [9] CAPRITA H V, POPA M. Design methods of multithreaded architectures for multicore microcontrollers[C]// 2011 6th IEEE International Symposium on Applied Computational Intelligence and Informatics (SACI) , Timisoara, Romania, 2011: 427-432. [10] KIM N S, KGIL T, BERTACCO V, et al. Microarchitectural power modeling techniques for deep sub-micron microprocessors[C]// Proceedings of the 2004 International Symposium on Low Power Electronics and Design, Newport Beach, CA, 2004: 212-217. [11] NAKADA T, NAKASHIMA H. Design and implementation of a high speed microprocessor simulator BurstScalar[C]// The IEEE Computer Society’s 12th Annual International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems, 2004. (MASCOTS 2004). Proceedings, Volendam, Netherlands, 2004: 364-372. [12] BOYER F R, YANG L P, ABOULHAMID E M, et al. Multiple SimpleScalar processors, with introspection, under SystemC[C]// 2003 46th Midwest Symposium on Circuits and Systems, Cairo, 2005: 1400-1404. [13] XU J, ZHU Y X, JIANG L, et al. A simulator for multi-core processor micro-architecture featuring inter-core communication, power and thermal behavior[C]// 2008 International Conference on Embedded Software and Systems Symposia, Chengdu, China, 2008: 237-242. [14] 张福新, 章隆兵, 胡伟武. 基于SimpleScalar的龙芯CPU模拟器Sim-Godson[J]. 计算机学报, 2007, 30(1): 68-73. [15] MAGNUSSON P S, CHRISTENSSON M, ESKILSON J, et al. Simics: A full system simulation platform[J]. Computer, 2002, 35(2): 50-58. [16] CHEN J W, DUBOIS M, STENSTR?M P. SimWattch: Integrating complete-system and user-level performance and power simulators[J]. IEEE Micro, 2007, 27(4): 34-48. [17] JOSEPH J S, DMITRY P, KANAD G. Abstract M-SIM: A flexible, multithreaded architectural simulation environment[J]. 2005. [18] MARTIN M M K, SORIN D J, BECKMANN B M, et al. Multifacet’s general execution-driven multiprocessor simulator (GEMS) toolset[J]. ACM SIGARCH Computer Architecture News, 2005, 33(4): 92-99. [19] REILLY M, EDMONDSON J. Performance simulation of an Alpha microprocessor[J]. Computer, 1998, 31(5): 50-58. [20] HUGHES C J, PAI V S, RANGANATHAN P, et al. Rsim: simulating shared-memory multiprocessors with ILP processors[J]. Computer, 2002, 35(2): 40-49. [21] HARDAVELLAS N, SOMOGYI S, WENISCH T F, et al. SimFlex: A fast, accurate, flexible full-system simulation framework for performance evaluation of server architecture[J]. Acm Sigmetrics Performance Evaluation Review, 2004, 31: 31-34. [22] GENBRUGGE D, EYERMAN S, EECKHOUT L. Interval simulation: raising the level of abstraction in architectural simulation[C]// HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, Bangalore, India, 2010: 1-12. [23] BINKERT N, BECKMANN B, BLACK G, et al. The gem5 simulator[J]. ACM SIGARCH Computer Architecture News, 2011, 39(2): 1-7. [24] MILLER J E, KASTURE H, KURIAN G, et al. Graphite: A distributed parallel simulator for multicores[C]// HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, Bangalore, India, 2010: 1-12. [25] DONG Z J, WANG J, RILEY G, et al. An efficient front-end for timing-directed parallel simulation of multi-core system[C]// Proceedings of the 7th International ICST Conference on Simulation Tools and Techniques, 2014: 201–206. [26] EMER J, AHUJA P, BORCH E, et al. Asim: A performance model framework[J]. Computer, 2002, 35(2): 68-76. [27] MAUER C J, HILL M D, WOOD D A. Full-system timing-first simulation[C]// Proceedings of the 2002 ACM SIGMETRICS international conference on Measurement and modeling of computer systems - SIGMETRICS '02, Marina Del Rey, California, 2002. [28] CHEN J W, DUBOIS M, STENSTROM P. Integrating complete-system and user-level performance/power simulators: The SimWattch approach[C]// 2003 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS, Austin, TX, USA, 2003: 1-10. [29] BROOKS D, TIWARI V, MARTONOSI M. Wattch: a framework for architectural-level power analysis and optimizations[C]// Proceedings of 27th International Symposium on Computer Architecture, Vancouver, BC, Canada, 2000: 83-94. [30] BINKERT N L, DRESLINSKI R G, HSU L R, et al. The M5 simulator: Modeling networked systems[J]. IEEE Micro, 2006, 26(4): 52-60. [31] ZURSTRA?EN N, CUBERO-CASCANTE J, JOSEPH J M, et al. Par-gem5: Parallelizing gem5’s atomic mode[C]// 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), Antwerp, Belgium, 2023: 1-6. [32] ROELKE A, ZHANG R J, MAZUMDAR K, et al. Pre-RTL voltage and power optimization for low-cost, thermally challenged multicore chips[C]// 2017 IEEE International Conference on Computer Design (ICCD), Boston, MA, USA, 2017: 597-600. [33]JIAN X Y, SHAN C. An accurate power and temperature simulation framework for network-on-chip[C]// 2016 International Conference on Integrated Circuits and Microsystems (ICICM), Chengdu, China, IEEE, 2016. [34] NOCUA A, BRUGUIER F, SASSATELLI G, et al. ElasticSimMATE: A fast and accurate gem5 trace-driven simulator for multicore systems[C]// 2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), Madrid, Spain, 2017: 1-8. [35] MA J L, YU L C, CHEN T Z, et al. Analyzing memory access on CPU-GPGPU shared LLC architecture[C]//2 015 14th International Symposium on Parallel and Distributed Computing, Limassol, Cyprus, 2015. [36] CHESHMIKHANI E, PECCERILLO B, MONDELLI A, et al. A general framework for accelerator management based on ISA extension[J]. IEEE Access, 2022, 10: 120702-120713. [37] 张乾龙, 侯锐, 杨思博, 等. 体系结构模拟器在处理器设计过程中的作用[J]. 计算机研究与发展, 2019, 56(12): 2702-2719. [38] PATEL A, AFRAM F, CHEN S F, et al. MARSS: a full system simulator for multicore x86 CPUs[C]// 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC), San Diego, CA, USA, 2011: 1050-1055. [39] ASRI M, PEDRAM A, JOHN L K, et al. Simulator calibration for accelerator-rich architecture studies[C]// 2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), Agios Konstantinos, Greece, 2016: 88-95. [40]CHRISTOS K, DANIEL S. ZSim: Fast and accurate microarchitectural simulation of thousand-core systems[J]. Acm Sigarch Computer Architecture News, 2013, 41: 475-486. [41]DANIEL S, CHRISTO K. The ZCache: Decoupling ways and associativity[Z]. IEEE, 2010. [42] ALIAN M, YUAN Y F, ZHANG J, et al. Data direct I/O characterization for future I/O system exploration[C]// 2020 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Boston, MA, USA, 2020: 160-169. [43] ASMA,SALEM. Performance Evaluation for Multi core Processor Using AMD SimNow Simulator[J].2014. [44] LAHIRI, KANISHKA. Performance analysis for modern server CPUS[EB/OL]. https://www.cse.iitk.ac.in/users/biswap/CASS18/performance-AMD.pdf. [45] CARLSON T E, HEIRMAN W, EYERMAN S, et al. An evaluation of high-level mechanistic core models[J]. ACM Transactions on Architecture and Code Optimization, 2014, 11(3): 28. [46] BUTKO A, GARIBOTTI R, OST L, et al. Accuracy evaluation of GEM5 simulator system[C]// 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), York, UK, 2012: 1-7. [47] ARIMA E, KODAMA Y, ODAJIMA T, et al. Power/performance/area evaluations for next-generation HPC processors using the A64FX chip[C]// 2021 IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS), Tokyo, Japan, 2021: 1-6. [48]BARRY W, THOMASSPEIER, et al D B. Qualcomm Centriq? 2400 Processor[EB/OL]. https://www.qualcomm.com/content/dam/qcomm-martech/dm-assets/documents/qualcomm_centriq_2400_hotchips_final_0.pdf. [49] BUTKO A, BRUGUIER F, GAMATIé A, et al. Full-system simulation of big.LITTLE multicore architecture for performance and energy exploration[C]// 2016 IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSOC), Lyon, France, 2016: 201-208. [50] REDDY B K, WALKER M J, BALSAMO D, et al. Empirical CPU power modelling and estimation in the gem5 simulator[C]// 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), Thessaloniki, Greece, 2017: 1-8. [51] XIANG G, ZHANG F X, TANG Y, et al. SimOS-Goodson: A Goodson-processor based multi-core full-system simulator[J]. Journal of Software, 2007, 18(4): 1047-1055. [52] HU X D, GUO Y, ZHU Y, et al. Design and application of instruction set simulator on multi-core verification[J]. Journal of Computer Science and Technology, 2010, 25(2): 267-273. [53] 陈伟健, 郭勇, 尹飞. 申威同时多线程功能模拟器实现与应用[J]. 计算机工程, 2016, 42(6): 55-59. [54] HERDT V, GRO?E D, DRECHSLER R. Fast and accurate performance evaluation for RISC-V using virtual prototypes[C]// 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, 2020: 618-621. [55] SILVEIRA J, CASTRO L, ARAúJO V, et al. Prof5: A RISC-V profiler tool[C]// 2022 IEEE 34th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD), Bordeaux, France, 2022: 201-210. [56] PEREZ B, FELL A, DAVIS J D. Coyote: an open source simulation tool to enable RISC- V in HPC[C]// 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, 2021: 130-135. [57] FOIK C, MUELLER-GRITSCHNEDER D, SCHLICHTMANN U. CorePerfDSL: A flexible processor description language for software performance simulation[C]// 2022 Forum on Specification & Design Languages (FDL), Linz, Austria, 2022: 1-8. [58] CHEN K C, GRATZ P, KIM J, et al. Panel: the future of NoCs: challenges and opportunities[C]// 2022 15th IEEE/ACM International Workshop on Network on Chip Architectures (NoCArc), Chicago, IL, USA, 2022: 1. [59]SAMUELNAFFZIGER N B,THOMAS B, KEVIN L,et al . Pioneering chiplet technology and design for the AMD EPYC? and Ryzen? processor families: Industrial Product[Z]. IEEE, 2021. [60] VIEIRA J, ROMA N, FALCAO G, et al. Gem5-ndp: near-data processing architecture simulation from low level caches to dram[C]// 2022 IEEE 34th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD), Bordeaux, France, 2022: 41-50. [61] GAO D, REIS D, HU X S, et al. Eva-CiM: A system-level performance and energy evaluation framework for computing-in-memory architectures[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020, 39(12): 5011-5024. [62] MOHAMMAD A, DARBAZ U, DOZSA G, et al. Dist-gem5: Distributed simulation of computer clusters[C]// 2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Santa Rosa, CA, USA, 2017: 153-162. [63] SAWAL S N, GUINDE N. Performance evaluation using GEM 5-GPU simulator[C]// 2017 International Conference on Computing Methodologies and Communication (ICCMC), Erode, India, 2017: 380-383. [64] POWER J, HESTNESS J, ORR M S, et al. Gem5-gpu: A heterogeneous CPU-GPU simulator[J]. IEEE Computer Architecture Letters, 2015, 14(1): 34-36. [65] GERA P, KIM H, KIM H, et al. Performance characterisation and simulation of intel’s integrated GPU architecture[C]// 2018 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Belfast, UK, 2018: 139-148. [66]BRANDONREGEN Y, SOPHIA S, SAM, et al. Methods and infrastructure in the era of accelerator-centric architectures[C]// 2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS): IEEE, 2017. [67] MEDINA R, KEIN J, ANSALONI G, et al. System-level exploration of in-package wireless communication for multi-chiplet platforms[C]// 2023 28th Asia and South Pacific Design Automation Conference (ASP-DAC), Tokyo, Japan, 2023: 1-6. [68]MOHAMMAD Z, OMID E. Analysis of a parallel/distributed application using a cycle-accurate parallel/distributed simulator[C]// Electrical Engineering (ICEE), Iranian Conference on IEEE, 2018: 1523-1529. [69] VENKATAGIRI R, AHMED K, MAHMOUD A, et al. Gem5-approxilyzer: An open-source tool for application-level soft error analysis[C]//2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), Portland, OR, USA, 2019: 214-221. [70] DA ROSA F R, REIS R, OST L. Gem5-FIM: A flexible and scalable multicore soft error assessment framework to early reliability design space explorations[C]// 2018 IEEE 9th Latin American Symposium on Circuits & Systems (LASCAS), Puerto Vallarta, Mexico, 2018: 1-4. [71] KALIORAKIS M, TSELONIS S, CHATZIDIMITRIOU A, et al. Differential fault injection on microarchitectural simulators[C]// 2015 IEEE International Symposium on Workload Characterization, Atlanta, GA, USA, 2015: 172-182. [72] CHATZIDIMITRIOU A, GIZOPOULOS D. Anatomy of microarchitecture-level reliability assessment: Throughput and accuracy[C]// 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Uppsala, Sweden, 2016: 69-78. [73] CHATZIDIMITRIOU A, BODMANN P, PAPADIMITRIOU G, et al. Demystifying soft error assessment strategies on ARM CPUs: Microarchitectural fault injection vs. neutron beam experiments[C]// 2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), Portland, OR, USA, 2019: 26-38. [74] ROGERS S, SLYCORD J, BAHARANI M, et al. Gem5-SALAM: A system architecture for LLVM-based accelerator modeling[C]// 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Athens, Greece, 2020: 471-482.
|