[1] PLANES N, WEBER O, BARRAL V, et al. 28 nm FDSOI technology platform for high-speed low-voltage digital applications[J]. Digest of Technical Papers Symposium on VLSI Technology, 2012, 33(4): 133-134. [2] CARTER R, MAZURIER J, PIRRO L, et al. 22 nm FDSOI technology for emerging mobile, Internet-of-Things, and RF applications[C]// IEEE International Electron Devices Meeting (IEDM), 2016. [3] NGUYEN B Y, FLATRESSE P, SCHAEFFER J, et al. A path to energy efficiency and reliability for ICs: Fully depleted silicon-on-insulator (FD-SOI) devices offer many advantages[J]. IEEE Solid-State Circuits Magazine, 2018, 10(4): 24-33. [4] WEBER O, FAYNOT O, ANDRIEU F, et al. High immunity to threshold voltage variability in undoped ultra-thin FDSOI MOSFETs and its physical understanding[C]// IEEE International Electron Devices Meeting, 2008. [5] MONFRAY S, SKOTNICKI T. UTBB FDSOI: Evolution and opportunities[J]. Solid-State Electronics, 2016, 125: 63-72. [6] CARTER R, MAZURIER J, PIRRO L, et al. 22 nm FDSOI technology for emerging mobile, Internet-of-Things, and RF applications[C]// Technical Digest International Electron Devices Meeting, 2017. [7] BAE G, BAE D I, KANG M, et al. 3 nm GAA technology featuring multi-bridge-channel FET for low power and high performance applications[C]// 2018 IEEE International Electron Devices Meeting (IEDM), 2018. [8] SCHWARZENBACH W, SELLIER M, NGUYEN B Y, et al. FD-SOI material enabling CMOS technology disruption from 65 nm to 12 nm and beyond[C]// IEEE International Conference on IC Design & Technology, 2017. [9] GRENOUILLET L, VINET M, GIMBERT J, et al. UTBB FDSOI transistors with dual STI for a multi-Vt strategy at 20 nm node and below[C]// Technical Digest International Electron Devices Meeting, 2012. [10] CHENG K, KHAKIFIROOZ A, LOUBET N, et al. High performance extremely thin SOI (ETSOI) hybrid CMOS with Si channel NFET and strained SiGe channel PFET[C]// Technical Digest International Electron Devices Meeting, 2012. [11] LIU Q, VINET M, GIMBERT J, et al. High performance UTBB FDSOI devices featuring 20 nm gate length for 14 nm node and beyond[C]// Technical Digest International Electron Devices Meeting, 2013. [12] MORVAN S, LE ROYER C, ANDRIEU F, et al. Gate-last integration on planar FDSOI MOSFET: Impact of mechanical boosters and channel orientations[C]// Technical Digest International Electron Devices Meeting, 2013. [13] KHAKIFIROOZ A, CHENG K, REZNICEK A, et al. Scalability of extremely thin SOI (ETSOI) MOSFETs to sub-20-nm gate length[J]. IEEE Electron Device Letters, 2012, 33(2): 149-151. [14] GRENOUILLET L, LIU Q, WACQUEZ R, et al. UTBB FDSOI scaling enablers for the 10 nm node[C]// IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, 2013. [15] BONNEVIALLE A, ROYER C L, MORAND Y, et al. Smart Solutions for Efficient Dual Strain Integration for Future FDSOI Generations[C]// Digest of Technical Papers - Symposium on VLSI Technology, 2016. [16] POIROUX T, ROZEAU O, MARTINIE S, et al. UTSOI2: A complete physical compact model for UTBB and independent double gate MOSFETs[C]// Technical Digest - International Electron Devices Meeting, IEDM, 2013: 324-327. [17] ANDRIEU F, CASSé M, BAYLAC E, et al. Strain and layout management in dual channel (SSOI substrate, SiGe channel) planar FDSOI MOSFETs[C]// European Solid-State Device Research Conference, 2014: 106-109. [18] GRENOUILLET L, LIU Q, WACQUEZ R, et al. UTBB FDSOI scaling enablers for the 10 nm Node[C]// IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, 2013: 49-52. [19] WEBER O, JOSSE E, ANDRIEU F, et al. 14 nm FDSOI technology for high speed and energy efficient applications[C]// Digest of Technical Papers Symposium on VLSI Technology, 2014: 14-15. [20] KAZEMI E B, KILCHYTSKA V, BARRAL V, et al. Assessment of 28 nm UTBB FD-SOI technology platform for RF applications: Figures of merit and effect of parasitic elements[J]. Solid-State Electronics, 2016, 117(4): 130-137. [21] GHOULI S E, SCHEER P, MINONDO M, et al. Analog and RF modeling of FDSOI UTBB MOSFET using leti-UTSOI model[C]// International Conference Mixed Design of Integrated Circuits and Systems, 2016: 41-46. [22] BERTHELON R, ANDRIEU F, PERREAU P, et al. A novel dual isolation scheme for stress and back-bias maximum efficiency in FDSOI technology[C]// Technical Digest International Electron Devices Meeting, IEDM, 2017. [23] KWAK J, NIKOLIC B. A 550–2260 MHz self-adjustable clock generator in 28nm FDSOI[C]// 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC). IEEE, 2015. [24] DUAN Y, ALON E. A 6b 46GS/s ADC with >23 GHz BW and sparkle-code error correction[C]// 2015 Symposium on VLSI Circuits (VLSI Circuits). IEEE, 2015. [25] BLAGOJEVIC M, COCHET M, KELLER B, et al. A fast, flexible, positive and negative adaptive body-bias generator in 28 nm FDSOI[C]// IEEE Symposium on VLSI Circuits, 2016. [26] STREEL G D, STAS F, GURNE T, et al. SleepTalker: A 28nm FDSOI ULV 802.15.4a IR-UWB transmitter SoC achieving 14 pJ/bit at 27 Mb/s with adaptive-FBB-based channel selection and programmable pulse shape[C]// IEEE Symposium on VLSI Circuits, 2016. [27] HOPPNER S, SCHREITER J, NIEBSCH R, et al. How to achieve world-leading energy efficiency using 22FDX with adaptive body biasing on an arm Cortex-M4 IoT SoC[C]// European Solid-State Device Research Conference, 2019. [28] ZAINI J, HAMEAU F, TARIS T, et al. A tunable ultra low power inductorless low noise amplifier exploiting body biasing of 28 nm FDSOI technology[C]// International Symposium on Low Power Electronics and Design, 2017. [29] DIRANI H E, LEE K H, PARIHAR M S, et al. Ultra-low power 1T-DRAM in FDSOI technology[J]. Microelectronic Engineering, 2017, 178(6): 245-249. [30] KADURA L, GRENOUILLET L, BEDECARRATS T, et al. Extending the functionality of FDSOI N- and P-FETs to light sensing[C]// Technical Digest International Electron Devices Meeting, IEDM, 2017. [31] TRIANTOPOULOS K, CASSé M, BRUNET L, et al. Thermal effects in 3D sequential technology[C]// Technical Digest International Electron Devices Meeting, IEDM, 2018. [32] DüNKEL S, TRENTZSCH M, RICHTER R, et al. A FeFET based super-low-power ultra-fast embedded NVM technology for 22 nm FDSOI and beyond[C]// Technical Digest International Electron Devices Meeting, IEDM, 2018. [33] ARNAUD F, ZULIANI P, REYNARD J P, et al. Truly innovative 28 nm FDSOI technology for automotive micro-controller applications embedding 16 MB phase change memory[C]// Technical Digest International Electron Devices Meeting, IEDM, 2019. [34] DINH T V, RAUCOULES A M, TOSO S D, et al. Record high-performance RF Devices in an advanced FDSOI process enabling integrated watt-level power amplifiers for WiFi and 5G applications[C]// Technical Digest International Electron Devices Meeting, IEDM, 2019. [35] HAMEAU F, ZAINI J, TARIS T, et al. New design opportunities exploiting FDSOI technology for RF power amplifier and LNA design[C]// IEEE International New Circuits and Systems Conference (NEWCAS). IEEE, 2019. [36] SANDRINI J, BARLAS M, NODIN J F, et al. OxRAM for embedded solutions on advanced node: Scaling perspectives considering statistical reliability and design constraints[C]// Technical Digest International Electron Devices Meeting, IEDM, 2019. [37] ANSALONI F, CHATTERJEE A, BOHUSLAVSKYI H, et al. Single-electron operations in a foundry-fabricated array of quantum dots[J]. Nature Communications, 2020, 11(1): 853-856. [38] VIVET P, GUTHMULLER E, THONNART Y, et al. A 220GOPS 96-Core processor with 6 chiplets 3D-stacked on an active interposer offering 0.6ns/Mm latency, 3Tb/s/Mm2 inter-chiplet interconnects and 156mW/mm2@ 82%-peak-efficiency DC-DC converters[C]// IEEE International Solid-State Circuits Conference, 2020. [39] PRINZIE J, ANDRABI S, BEGHEIN C, et al. A fast locking 5.8-7.2 GHz fractional-N Synthesizer with sub-2 Us settling time in 22 nm FDSOI[C]// IEEE Symposium on VLSI Circuits, 2020. [40] BOUJAMAA E M, ALI S M, WANDJI S N, et al. A 14.7 Mb/mm2 28 nm FDSOI STT-MRAM with current starved read path, 52Ω/Sigma offset voltage sense amplifier and fully trimmable CTAT reference[C]// IEEE Symposium on VLSI Circuits, 2020. [41] WRIGHT J C, SCHMIDT C, KELLER B, et al. A dual-core RISC-V vector processor with on-chip fine-grain power management in 28-nm FD-SOI[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2020, 28(12): 2721-2725. [42] ARNAUD F, FERREIRA P, PIAZZA F, et al. High density embedded PCM cell in 28 nm FDSOI technology for automotive micro-controller applications[J]. Technical Digest International Electron Devices Meeting, IEDM, 2020. [43] TARIS T, DESEVEDAVY J, HAMEAU F, et al. Inductorless multi-mode RF-CMOS low noise amplifier dedicated to ultra low power applications[J]. IEEE Access, 2021(9): 83431-83440. [44] NOVELLO A, ATZENI G, CRISTIANO G, et al. A 2.3 GHz fully integrated DC-DC converter based on electromagnetically coupled class-D LC oscillators achieving 78.1% efficiency in 22 nm FDSOI CMOS[C]// IEEE Symposium on VLSI Circuits, 2021. [45] ABOUZEID F, BOISSAC C L M D, MALHERBE V, et al. Radiation-hardened cortex-R4F system-on-chip prototype with total ionizing dose dynamic compensation in 28-nm FD-SOI[J]. IEEE Transactions on Nuclear Science, 2021, 68(5): 1040-1044. [46] PAUL S, HONKOTE V, KIM R G, et al. A sub-cm3 energy-harvesting stacked wireless sensor node featuring a near-threshold voltage IA-32 microcontroller in 14-nm tri-gate CMOS for always-on always-sensing applications[J]. IEEE Journal of Solid-State Circuits, 2017, 52(4): 961-971. [47] LEE J, MIYOSHI S, KAWAMINAMI M, et al. A self-tuning IoT processor using leakage-ratio measurement for energy-optimal operation[J]. IEEE Journal of Solid-State Circuits, 2020, 55(1): 87-97. [48] BOL D, SCHRAMME M, MOREAU L, et al. SleepRunner: A 28-nm FDSOI ULP cortex-M0 MCU with ULL SRAM and UFBR PVT compensation for 2.6-3.6 μ W/DMIPS 40–80-MHz active mode and 131 NW/KB fully retentive deep-sleep mode[J]. IEEE Journal of Solid-State Circuits, 2021, 56(7): 2256-2269. [49] HOENTSCHEL J, PIRRO L, CARTER R, et al. 22 FDX technologies for ultra-low power IoT, RF and Mmwave applications[J]. Composants Nanoélectroniques, 2019, 2(1): 1-9.
最新录用说明: 此版本为经同行评议被本刊正式录用的文章。其内容、版式可能与正式出版(印刷版)稍有差异,正式出版后此版本会更新,请以正式出版版本为准。本文已确定卷期、页码以及DOI,可以根据DOI引用。 本文尚未正式出版,未经许可,不得转载。
|