电子与封装 ›› 2024, Vol. 24 ›› Issue (8): 080401 . doi: 10.16257/j.cnki.1681-1070.2024.0094
赵正平1,2
收稿日期:
2023-12-22
出版日期:
2024-09-11
发布日期:
2024-09-11
作者简介:
赵正平(1947—),男,江苏扬州人,硕士,研究员,曾任中国电科13所所长和中国电科副总经理,从事GaAs/InP/GaN/SiC化合物半导体、超宽禁带半导体器件与电路的研究,并进行MEMS、微电子、纳电子等发展战略研究。
ZHAO Zhengping1, 2
Received:
2023-12-22
Online:
2024-09-11
Published:
2024-09-11
摘要: 集成电路延续摩尔定律的发展正在从鳍栅场效应晶体管(FinFET)纳电子学时代向原子水平上的埃米时代转变。综述了该转变阶段的三大创新发展热点,FinFET、环栅场效应晶体管(GAAFET)和互补场效应晶体管(CFET)纳电子学的发展历程和最新进展。在FinFET纳电子领域综述并分析了当今Si基CMOS集成电路的发展现状,包含覆盖了22 nm、14 nm、10 nm、7 nm和5 nm 5个发展代次的创新特点和3 nm技术节点的创新和应用。在GAAFET纳电子学领域综述并分析了各类GAAFET的结构创新,2 nm技术节点的关键技术突破,3 nm技术节点的多桥沟道场效应晶体管技术平台创新与应用,以及GAAFET有关工艺、器件结构、电路和材料等方面的创新。在CFET纳电子学领域综述并分析了CFET技术在器件模型、堆叠工艺、单胞电路设计和三维集成等方面的创新,展现出CFET超越2 nm技术节点的发展新态势。
中图分类号:
赵正平. FinFET/GAAFET/CFET纳电子学的研究进展[J]. 电子与封装, 2024, 24(8): 080401 .
ZHAO Zhengping. Research Progress in FinFET/GAAFET/CFET Nanoelectronics[J]. Electronics & Packaging, 2024, 24(8): 080401 .
[1] WU S Y, CHANG C H, CHIANG M C, et al. A 3 nm CMOS FinFlex? platform technology with enhanced power efficiency and performance for mobile SoC and high performance computing applications[C]//2022 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2022: 639-642. [2] CHANG C H, CHANG V S, PAN K H, et al., Critical process features enabling aggressive contacted gate pitch scaling for 3 nm CMOS technology and beyond[C]//IEEE IEDM, San Francisco, CA, USA, 2022: 623-626. [3] OSADA Y, NAKAZATO T, NII K, et al. 3.7-GHz multi-bank high-current single-port cache SRAM with 0.5 V-1.4 V wide voltage range operation in 3 nm FinFET for HPC applications[C]//2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023. [4] CHANG J, CHEN Y H, CHAN G, et al. A 3 nm 256 Mb SRAM in FinFET technology with new array banking architecture and write-assist circuitry scheme for high-density and low-VMIN applications[C]//2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023. [5] LEE J, KIM Y. Hybrid assistive circuit of SRAM for improving read and write noise margin in 3 nm CMOS[C]//2022 19th International SoC Design Conference (ISOCC), Gangneung-si, Korea, Republic of, 2022: 336-337. [6] BAVIR M, ABBASI A, OROUJI A A. Performance enhancement of asymmetrical double gate junctionless CMOS inverter with 3-nm critical feature size using charge sheet[J]. IEEE Journal of the Electron Devices Society, 2022,10:334-340. [7] BUSH B, MACK J, HANKS L, et al. Exploring FinFET and gate-all-around FET for SRAM cell arrays at the 3 nm process node[C]//2023 IEEE International Opportunity Research Scholars Symposium (ORSS), Atlanta, GA, USA, 2023: 43-46. [8] ZHANG H Y, CAI L L, CHEN Y T, et al. Thermal and performance analysis of back-side power delivery network beyond 3 nm technology node[C]//2023 Silicon Nanoelectronics Workshop (SNW), Kyoto, Japan, 2023: 29-30. [9] PAL A, FERRELL J, SACHID A, et al. Materials technology co-optimization of self-aligned gate contact for advanced CMOS technology nodes[C]//2020 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 2020. [10] GAO Z, MALAGI S, HU M C, et al. Application of cell-aware test on an advanced 3 nm CMOS technology library[C]//2019 IEEE International Test Conference (ITC), Washington, DC, USA, 2019. [11] GAO Z, HU M C, BAERT R, et al. Cell-aware test on various circuits in an advanced 3-nm technology[J]. IEEE Design & Test, 2024, 41(2): 56-64. [12] 快传号. 联发科采用台积电3纳米制程芯片已成功流片,预计2024年量产[EP/OL]. (2023-09-07)[2024-07-19]. https://www.360kuai.com/pc/9e2bb0d2350e247ae?cota=3&kuai_so=1&sign=360_57c3bbd1&refer_scene=so_1. [13] 智电网. iPhone 16全系列传搭载双A18芯片,配台积电3纳米二代制程[EP/OL]. (2023-10-04)[2024-07-19]. https://k.sina.com.cn/article_5486558172_147063bdc00101b00n.html. [14] 火来数码. 华为Mate 60 Pro发布日期、规格参数解析以及购买推荐[EP/OL]. (2023-09-26)[2024-07-19]. https://baijiahao.baidu.com/s?id=1778109605214278972&wfr=spider&for=pc. [15] 月月. 麒麟9000s和麒麟9000有什么区别[EP/OL]. (2023-08-31)[2024-07-19]. https://www.shoujishu.com/news/95656412.html. [17] MERTENS H, RITZENTHALER R, HIKAVYY A, et al. Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates[C]//2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 2016. [18] LOUBET N, HOOK T, MONTANINI P, et al. Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET[C]//2017 Symposium on VLSI Technology, Kyoto, Japan, 2017. [19] WECKX P, RYCKAERT J, PUTCHA V, et al. Stacked nanosheet fork architecture for SRAM design and device co-optimization toward 3nm[C]//2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017: 505-508. [20] BAE G, BAE D I, KANG M, et al. 3 nm GAA technology featuring multi-bridge-channel FET for low power and high performance applications[C]//2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2018: 656-659. [21] WECKX P, RYCKAERT J, LITTA E D, et al. Novel forksheet device architecture as ultimate logic scaling device towards 2nm[C]//2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019: 871-874. [22] cnBeta. 台积电2 nm工艺重大突破 2023年风险试产良率或达90%[EP/OL]. (2020-09-22)[2024-07-19]. https://finance.sina.cn/tech/2020-09-22/detail-iivhuipp5854891.d.html?fromtech=1. [23] 机器之心. 全球首款2纳米制程芯片问世:每平方毫米3.3亿晶体管,IBM打造[EP/OL]. (2021-05-07)[2024-07-19]. https://finance.sina.com.cn/tech/2021-05-07/doc-ikmyaawc3875973.shtml. [24] 人工智能学家. 将摩尔定律推向新高度[EP/OL]. (2024-04-01)[2024-07-19]. https://m.163.com/dy/article/IUNGMJH4051193U6.html. [25] RYCKAERT J, SCHUDDINCK P, WECKX P, et al. The complementary FET (CFET) for CMOS scaling beyond N3[C]//2018 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 2018: 141-142. [26] KIM S, LEE H, LEE Y J, et al. A 3 nm GAAFET analog assisted digital LDO with high current density for dynamic voltage scaling mobile applications[C]//2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, HI, USA, 2022: 190-191. [27] YANG G, JUNG H, LIM J, et al. Standard cell design optimization with advanced MOL technology in 3 nm GAA process[C]//2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, HI, USA, 2022: 363-364. [28] JEONG J, LEE S H, MASUOKA S A, et al. World’s first GAA 3 nm foundry platform technology (SF3) with novel multi-bridge-channel-FET (MBCFET?) process[C]//2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023. [29] Samsung Newsroom. Samsung begins chip production using 3nm process technology with GAA architecture[EP/OL]. (2022-06-30)[2024-07-19]. news.samsung.com/global/samsung-begins-chip-production-using-3nm-process-technology-with-gaa-architecture. [30] CHANG W Y, CHU C L, LUO G L, et al. Investigation on selectively etched SiGe and Si surface for gate-all-around CMOS devices fabrication[C]//2022 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, Taiwan, China, 2022. [31] CHEN W R, RUAN D B, CHANG-LIAO K S, et al. Enhanced performance for SiGe/Si gate-all-around field-effect-transistor with Ge condensation using supercritical fluid treatment[C]//2023 Silicon Nanoelectronics Workshop (SNW), Kyoto, Japan, 2023: 25-26. [32] MOCUTA A, WECKX P, DEMUYNCK S, et al. Enabling CMOS scaling towards 3 nm and beyond[C]//2018 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 2018: 147-148. [33] LIN Y W, CHANG H H, HUANG Y H, et al. Tightly Stacked 3D Diamond-Shaped Ge nanowire gate-all-around FETs with superior nFET and pFET performance[J]. IEEE Electron Device Letters, 2021, 42(12): 1727-1730. [34] CHEN B A, LIN Y W, CHANG H H, et al. Vertically stacked Ge diamond-shape nanowires GAAFET with ferroelectric HZO[C]//2023 Silicon Nanoelectronics Workshop (SNW), Kyoto, Japan, 2023: 127-128. [35] ZHAO P, ZHAO S H, HE Y D, et al. A comparative study of self-heating effects in 3 nm node GAAFETs and FinFETs[C]//2022 IEEE 16th International Conference on Solid-State & Integrated Circuit Technology (ICSICT), Nangjing, China, 2022. [36] KAR A, SARKER S, DASGUPTA A, et al. Impact of corner rounding on quantum confinement in GAA nanosheet FETs for advanced technology nodes[C]//2022 Device Research Conference (DRC), Columbus, OH, USA, 2022. [37] CAO L, ZHANG Q Z, YAO J X, et al. Investigation of fabricated CMOS FishboneFETs and TreeFETs with strained SiGe nano-fins on bulk-Si substrate[J]. IEEE Electron Device Letters, 2023, 44(9): 1396-1399. [38] SUN C J, YAO Y J, YAN S C, et al. Investigation of SiGe/Si bilayer inverted-T channel gate-all-around field-effect-transistor with self-induced ferroelectric Ge doped HfO?[J]. IEEE Journal of the Electron Devices Society, 2022, 10: 408-412. [39] WANG Y C, PENG Z L, WU Z P, et al. Real-time optimization design of GAAFETs based on machine learning method[C]//2023 International Symposium of Electronics Design Automation (ISEDA), Nanjing, China, 2023: 414-417. [40] CHEN S H, JIAO Y X, PENG B K, et al. Thermal evaluation for monolithic 3D integrated circuits based on gate-all-around transistors[C]//2023 International Symposium of Electronics Design Automation (ISEDA), Nanjing, China, 2023: 418-422. [41] TSAI C E, LIU Y C, TU C T, et al. Highly stacked 8 Ge0.9Sn0.1 nanosheet pFETs with ultrathin bodies (~3 nm) and thick bodies (~30 nm) featuring the respective record I ON /I OFF of 1.4×107 and record ION of 92 μA at VOV=VDS=-0.5 V by CVD epitaxy and dry etching[C]//2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2021: 569-572. [42] VERRECK D, WAMBACQ P, VAN DE PUT M, et al. The promise of 2-D materials for scaled digital and analog applications[C]//2023 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 2023: 394-395. [43] ZHONG X C, YAN S C, HUANG M Y, et al. Study of ferroelectric HfO2-ZrO2 superlattice Poly-Si junctionless nanosheet gate-all-around field-effect-transistor and CMOS inverter[C]//2023 Silicon Nanoelectronics Workshop (SNW), Kyoto, Japan, 2023: 33-34. [44] HORIGUCHI N. CMOS device scaling by nanosheet channel architectures and new channel materials[C]//2023 Silicon Nanoelectronics Workshop (SNW), Kyoto, Japan, 2023: 1-2. [45] WU X, CHAN P C H, ZHANG S, et al. A three-dimensional stacked fin-CMOS technology for high-density ULSI circuits[J]. IEEE Transactions on Electron Devices, 2005, 52(9): 1998-2003. [46] CHANG S W, LI J H, HUANG M K, et al. First demonstration of CMOS inverter and 6T-SRAM based on GAA CFETs structure for 3D-IC applications[C]//2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019: 254-257. [47] SUBRAMANIAN S, HOSSEINI M, CHIARELLA T, et al. First monolithic integration of 3D complementary FET (CFET) on 300 mm wafers[C]//2020 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 2020. [48] LUO Y N, ZHANG Q Z, CAO L, et al. Investigation of novel hybrid channel complementary FET scaling beyond 3-nm node from device to circuit[J]. IEEE Transactions on Electron Devices, 2022, 69(7): 3581-3588. [49] YANG Y S, LI Y M, KOLA S R R. A physical-based artificial neural networks compact modeling framework for emerging FETs[J]. IEEE Transactions on Electron Devices, 2024, 71(1): 223-230. [50] SHI X L, LIU T, WANG Y, et al. A simulation study of SiGe shell channel CFET for sub-2-nm technology nodes[J]. IEEE Transactions on Electron Devices, 2023, 70(3): 908-913. [51] YANG X Q, SUN Y B, LIU Z Y, et al., 3-D modeling of fringe gate capacitance in complementary FET (CFET)[J] IEEE Transactions on Electron Devices, 2022, 69 (11): 5978-5984. [52] BUTOLA R, LI Y M, KOLA S R, et al. Estimating the process variation effects of stacked gate all around Si nanosheet CFETs using artificial neural network modeling framework[C]//2022 IEEE 22nd International Conference on Nanotechnology (NANO), Palma de Mallorca, Spain, 2022: 170-173. [53] YANG X Q, SUN Y B, LI X J, et al. Compact modeling of process variations in nanosheet complementary FET (CFET) and circuit performance predictions[J]. IEEE Transactions on Electron Devices, 2023, 70(7): 3935-3942. [54] WANG Q, WU Q, LIU X H, et al. The analysis of optical critical dimension (OCD) signal strength between 5 nm FinFET and 3 nm complementary FET (CFET) vertical gate stacks[C]//2023 China Semiconductor Technology International Conference (CSTIC), Shanghai, China, 2023. [55] VEGA-GONZALEZ V, RADISIC D, CHAN B, et al. Integration of a stacked contact MOL for monolithic CFET[C]//2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023. [56] MERTENS H, HOSSEINI M, CHIARELLA T, et al. Nanosheet-based complementary field-effect transistors (CFETs) at 48 nm gate pitch, and middle dielectric isolation to enable CFET inner spacer formation and multi-vt patterning[C]//2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023. [57] FRANCO J, ARIMURA H, DE MARNEFFE J F, et al. Novel low thermal budget CMOS RMG: performance and reliability benchmark against conventional high thermal budget gate stack solutions[C]//2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023. [58] SUNG P J, CHANG S W, KAO K H, et al. Fabrication of vertically stacked nanosheet junctionless field-effect transistors and applications for the CMOS and CFET inverters[J]. IEEE Transactions on Electron Devices, 2020, 67(9): 3504-3509. [59] HONG T C, YU S R, SU C J, et al. Investigation of Ge channel complemental field effect transistors (CFETs) stacked epitaxy or layer transfer[C]//2022 6th IEEE Electron Devices Technology & Manufacturing Conference (EDTM), Oita, Japan, 2022: 244-246. [60] WU Q, LI Y L, LIU X H, et al. A CDU budget and process window study with EUV lithography for 3 nm CFET logic processes and an outlook for future generations[C]//2022 IEEE 16th International Conference on Solid-State & Integrated Circuit Technology (ICSICT), Nangjing, China, 2022: 1-4. [61] XIONG X, TONG A Y, WANG X, et al. Demonstration of vertically-stacked CVD monolayer channels: MoS2 nanosheets GAA-FET with Ion>700 μA/μm and MoS2/WSe2 CFET[C]//2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2021: 162-165. [62] XIONG X, LIU S Y, LIU H G, et al. Top-gate CVD WSe2 pFETs with record-high Id~594 μA/μm, Gm~244 μS/μm and WSe2/MoS2 CFET based half-adder circuit using monolithic 3D integration[C]// International Electron Devices Meeting, San Francisco, CA, USA, 2022: 491-494. [63] GHOSH S, SMETS Q, BANERJEE S, et al. Integration of epitaxial monolayer MX? channels on 300 mm wafers via collective-die-to-wafer (CoD2W) transfer[C]//2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023. [64] SCHUDDINCK P, ZOGRAFOS O, WECKX P, et al. Device-, circuit- & block-level evaluation of CFET in a 4 track library[C]//2019 Symposium on VLSI Technology, Kyoto, Japan, 2019: 204-205. [65] GUPTA M K, WECKX P, SCHUDDINCK P, et al. The complementary FET (CFET) 6T-SRAM[J]. IEEE Transactions on Electron Devices, 2021, 68(12): 6106-6111. [66] SCHUDDINCK P, BUFLER F M, XIANG Y, et al. PPAC of sheet-based CFET configurations for 4 track design with 16 nm metal pitch[C]//2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, HI, USA, 2022. [67] ZOGRAFOS O, CHEHAB B, SCHUDDINCK P, et al. Design enablement of CFET devices for sub-2 nm CMOS nodes[C]//2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), Antwerp, Belgium, 2022: 29-33. [68] YOU W X, WANG C Y, WANG Y, et al. Write-enhanced single-ended 11T SRAM enabling single bitcell reconfigurable compute-in-memory employing complementary FETs[C]//2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023. [69] ZHU X N, WEI C C, DING R Z, et al. A CFET Unit Cell based MUX21 design strategy[C]//2022 IEEE 16th International Conference on Solid-State & Integrated Circuit Technology (ICSICT), Nangjing, China, 2022. [70] LI Y L, WU Q, LIU X H. A MEOL logic layout optimization recommendation under 3 nm CFET architecture and beyond[C]//2022 IEEE 16th International Conference on Solid-State & Integrated Circuit Technology (ICSICT), Nangjing, China, 2022. [71] CHENG C K, HO C T, LEE D, et al. Complementary-FET (CFET) standard cell synthesis framework for design and system technology co-optimization using SMT[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2021, 29(6): 1178-1191. [72] ZHU X N, DING R Z, TAO O W, et al. A combined N/PFET CFET-based design and logic technology framework for CMOS applications[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023, 42(12): 4999-5005. [73] DING R Z, LIU Y, ZHAO G D, et al. A Novel Zigzag SRAM bitcell design in the complementary FET framework[J]. IEEE Transactions on Electron Devices, 2023, 70(9): 4622-4627. [74] PARK E, SONG T. An optimized standard cell design methodology targeting low parasitics and small area for complementary FETs (CFETs)[C]//2021 18th International SoC Design Conference (ISOCC), Jeju Island, Korea, 2021: 395-396. [75] KANG W C, WU J Y, CHENG Y T, et al. A complementary FET (CFET)-based NAND design to reduce RC delay[J] IEEE Electron Device Letters, 2022, 43(5): 678-681. [76] LEE M, HUANG Z Y, FAN S F, et al. Energy- and Area-Efficient 8T SRAM cell with FEOL CFETs and BEOL-compatible transistors[C]// International Electron Devices Meeting, San Francisco, CA, USA, 2022: 350-353. [77] ZHAO S H, ZHAO P, HE Y D, et al. Performance optimization and design of CFET correlated with self-heating effect[C]//2022 6th IEEE Electron Devices Technology & Manufacturing Conference (EDTM), Oita, Japan, 2022: 18-20. [78] LIU H H, SALAHUDDIN S M, CHAN B T, et al. CFET SRAM DTCO, interconnect guideline, and benchmark for CMOS scaling[J]. IEEE Transactions on Electron Devices, 2023, 70(3): 883-890. [79] LIU H H, SCHUDDINCK P, PEI Z L, et al. CFET SRAM with double-sided interconnect design and DTCO benchmark[J]. IEEE Transactions on Electron Devices, 2023, 70(10): 5099-5106. [80] PARK E, SONG T. Complementary FET (CFET) standard cell design for low parasitics and its impact on VLSI prediction at 3-nm process[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2023, 31(2): 177-187. [81] HUANG Y Y, HUANG P T, LEE P Y, et al. Novel complementary FeFET- based lookup table and routing switch design and their applications in energy/area-efficient FPGA[C]//2023 7th IEEE Electron Devices Technology & Manufacturing Conference (EDTM), Seoul, Korea, 2023. [82] YU X R, CHANG W H, HONG T C, et al. First demonstration of vertical stacked hetero-oriented n-Ge (111)/p-Ge (100) CFET toward mobility balance engineering[C]//2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, HI, USA, 2022: 399-400. [83] KIM S K, LIM H R, JEONG J, et al. Heterogeneous 3D sequential CFET with Ge (110) nanosheet p-FET on Si (100) bulk n-FET by direct wafer bonding[C]//2022 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2022: 471-474. [84] LIN Y W, LIN S W, CHEN B A, et al. 3-D self-aligned stacked Ge nanowire pGAAFET on Si nFinFET of single gate CFET[J]. IEEE Journal of the Electron Devices Society, 2023, 11: 480-484. [85] LIEBMANN L, SMITH J, CHANEMOUGAME D, et al. CFET design options, challenges, and opportunities for 3D integration[C]//2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2021: 51-54. [86] BAIG M A, YEH C J, CHANG S W, et al. 3-D monolithic stacking of complementary-FET on CMOS for next generation compute-in-memory SRAM[J]. IEEE Journal of the Electron Devices Society, 2023, 11: 107-113. [87] AN R, LI Y J, TANG J S, et al. A hybrid computing-in-memory architecture by monolithic 3D integration of BEOL CNT/IGZO-based CFET logic and analog RRAM[C]//2022 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2022: 419-422. |
[1] | 李圣贤,丁增千. 倒装芯片的底部填充工艺研究[J]. 电子与封装, 2024, 24(7): 70208-. |
[2] | 吴楚彬,高宏,马金龙,张章. 适用于Flash型FPGA的宽范围输出负压电荷泵设计[J]. 电子与封装, 2024, 24(7): 70303-. |
[3] | 吴尚贤,王成君,王广来,杨道国. 晶圆键合设备对准和传送机构研究综述[J]. 电子与封装, 2024, 24(3): 30201-. |
[4] | 刘彬灿,李轶楠. 基于有机基板的化学镍钯浸金工艺应用与测评[J]. 电子与封装, 2024, 24(2): 20110-. |
[5] | 林君逸,俞宏坤,欧宪勋,程晓玲,林佳德. 半加成工艺中薄化铜后烘烤对剥离强度的影响[J]. 电子与封装, 2023, 23(9): 90202-. |
[6] | 傅铮翔,李飞. 数字隔离器的失效分析及解决对策[J]. 电子与封装, 2023, 23(9): 90204-. |
[7] | 周杰,胡宇昆. 电镀纯锡镀层与钢带结合强度的优化研究*[J]. 电子与封装, 2023, 23(9): 90205-. |
[8] | 朱琪,黄登华,陈彦杰,刘芸含,常红. 深亚微米SOI工艺高压ESD器件防护设计[J]. 电子与封装, 2023, 23(9): 90401-. |
[9] | 蒋红利, 江月艳, 孙志欣, 邵卓, 钟涛, 高欣宇. 一种高压驱动器的抗辐射加固设计[J]. 电子与封装, 2023, 23(8): 80303-. |
[10] | 余淇睿, 张战刚, 李斌, 吴朝晖, 雷志锋, 彭超. 大气中子及α粒子对芯片软错误的贡献趋势*[J]. 电子与封装, 2023, 23(8): 80401-. |
[11] | 王峥杰;徐丽萍;凌天宇;瞿敏妮;权雪玲;乌李瑛;程秀兰. 基于微纳科研平台工艺验证的微米级标准CMOS关键工艺仿真[J]. 电子与封装, 2023, 23(7): 70401-. |
[12] | 卓琳;邵杰;任凤霞;万书芹;章宇新;黄立朝. 一种高精度CMOS温度传感器校准电路[J]. 电子与封装, 2023, 23(6): 60302-. |
[13] | 张明辉, 高丽茵, 刘志权, 董伟, 赵宁. 先进封装铜-铜直接键合技术的研究进展*[J]. 电子与封装, 2023, 23(3): 30106-. |
[14] | 方志丹, 于中尧, 武晓萌, 王启东. FCBGA基板关键技术综述及展望*[J]. 电子与封装, 2023, 23(3): 30103-. |
[15] | 张国光;田文超;刘美君;从昀昊;陈思;王永坤. 铜带缠绕型CCGA的加固工艺参数优化*[J]. 电子与封装, 2023, 23(2): 20203-. |
阅读次数 | ||||||
全文 |
|
|||||
摘要 |
|
|||||
访问总数: 当日访问总数: 当前在线:
版权所有 © 2019-2024 中国电子科技集团公司第五十八研究所 苏ICP备11028747号
地址:江苏省无锡市滨湖区惠河路5号 邮编:214035 电话:0510-85860386 电子邮箱:ep.cetc58@163.com
本系统由北京玛格泰克科技发展有限公司设计开发