[1] KONG J T. Soc in nanoera: challenges and endless possibility[C]//Design, Automation and Test in Europe. IEEE, 2005. [2] ?? BOWHILL B, STACKHOUSE B, NASSIF N, et al. The Xeon? processor E5-2600 v3: A 22 nm 18-core product family[J]. IEEE Journal of Solid-State Circuits, 2015, 51(1): 92-104. [3] ?? MAIR H T, GAMMIE G, WANG A, et al. 4.3 A 20 nm 2.5 GHz ultra-low-power tri-cluster CPU subsystem with adaptive power allocation for optimal mobile SoC performance[C]//2016 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2016: 76-77. [4] ?? TAKAHASHI C, SHIBAHARA S, FUKUOKA K, et al. 4.5 A 16 nm FinFET heterogeneous nona-core SoC complying with ISO26262 ASIL-B: Achieving 10?7 random hardware failures per hour reliability[C]//2016 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2016: 80-81. [5]???? HESS C, INANI A, JOAG A, et al. Stackable short flow characterization vehicle test chip to reduce test chip designs, mask cost and engineering wafers[C]//2010 IEEE/SEMI Advanced Semiconductor Manufacturing Conference (ASMC). IEEE, 2010:328-333. [6]???? MEIJER M, DE GYVEZ J P. Body-bias-driven design strategy for area-and
performance-efficient CMOS circuits[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2010, 20(1): 42-51. [7] ?? BRAVAIX A, GUERIN C, HUARD V, et al. Hot-carrier acceleration factors for low power management in DC-AC stressed 40 nm NMOS node at high temperature[C]//2009 IEEE International Reliability Physics Symposium. IEEE, 2009: 531-548. [8]???? WANG W, YANG S, BHARDWAJ S, et al. The impact of NBTI effect on combinational circuit: modeling, simulation, and analysis[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2009, 18(2): 173-183. [9]???? KEANE J, KIM C H. An odomoeter for CPUs[J]. IEEE Spectrum, 2011, 48(5): 28-33. [10]?? BAUMANN R. Soft errors in advanced computer systems[J]. IEEE Design & Test of Computers, 2005, 22(3): 258-266. [11] SENGUPTA D, SAPATNEKAR S S. Estimating circuit aging due to BTI and HCI using ring-oscillator-based sensors[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017, 36(10): 1688-1701. [12] AMOURI A, BRUGUIER F, KIAMEHR S, et al. Aging effects in fpgas: an experimental analysis[C]//2014 24th international conference on Field Programmable Logic and Applications (FPL). IEEE, 2014: 1-4. [13] NIGAM T. Impact of transistor level degradation on product reliability[C]//2009 IEEE Custom Integrated Circuits Conference. IEEE, 2009: 431-438. [14] WANG W, REDDY V, KRISHNAN A T, et al. Compact modeling and simulation of circuit reliability for 65-nm CMOS technology[J]. IEEE Transactions on Device and Materials Reliability, 2007, 7(4): 509-517. [15] SATO Y, KAJIHARA S, MIURA Y, et al. A circuit failure prediction mechanism (DART) for high field reliability[C]//2009 IEEE 8th International Conference on ASIC. IEEE, 2009: 581-584. [16] WITTMANN R, PUCHNER H, HINH L, et al. Impact of NBTI-driven parameter degradation on lifetime of a 90nm p-MOSFET[C]//2005 IEEE International Integrated Reliability Workshop. IEEE, 2005: 4 . [17] PARK M C, YANG G Y, YANG J S, et al. New perspective on lifetime prediction approach for BTI and HCI stressed device and its impact on circuit lifetime[C]//2014 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD). IEEE, 2014: 337-340. [18] STOTT E A, WONG J S J, SEDCOLE P, et al. Degradation in FPGAs: measurement and modelling[C]//Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays.2010: 229-238. [19] ERNST D, KIM N S, DAS S, et al. Razor: A low-power pipeline based on circuit-level timing speculation[C]//Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36. IEEE, 2003: 7-18. [20] DAS S, TOKUNAGA C, PANT S, et al. RazorII:In situ error detection and correction for PVT and SER tolerance[J]. IEEE Journal of Solid-State Circuits, 2008, 44(1): 32-48. [21]?? 曹靓,田海燕,王栋.一种抗单粒子瞬态辐射效应的自刷新三模冗余触发器[J].电子与封装,2018,18(9):36-38,41. [22] FOJTIK M, FICK D, KIM Y, et al. Bubble razor:An architecture-independent approach to timing-error detection and correction[C]//2012 IEEE International Solid-State Circuits Conference. IEEE,2012: 488-490. [23] KWON I, KIM S, FICK D, et al. Razor-lite:A light-weight register for error detection by observing virtual supply rails[J]. IEEE Journal of Solid-State Circuits, 2014, 49(9): 2054-2066. [24] SHAH N, SAMANTA R, ZHANG M, et al. Built-in proactive tuning system for circuit aging resilience[C]//2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems. IEEE,2008: 96-104. [25] VALDES-PENA M D, FREIJEDO J F, RODRIGUEZ M J M, et al. Design and validation of configurable online aging sensors in nanometer-scale FPGAs[J]. IEEE transactions on nanotechnology, 2013, 12(4): 508-517. [26]?? 胡鹏,魏江杰,周昱,等.基于环形振荡器的物理不可克隆函数的设计与验证[J].电子与封装,2019,19(7):33-36. [27] NOURANI M, RADHAKRISHNAN A. Testing on-die process variation in nanometer VLSI[J]. IEEE Design & Test of Computers,2006, 23(6): 438-451. [28] WANG X, TEHRANIPOOR M, DATTA R. Path-RO: A novel on-chip critical path delay measurement under process variations[C]//2008 IEEE/ACM International Conference on Computer-Aided Design. IEEE, 2008: 640-646. [29] WANG X, TEHRANIPOOR M, DATTA R. A novel architecture for on-chip path delay measurement[C]//2009 International Test Conference. IEEE, 2009: 1-10. [30] WANG X, TEHRANIPOOR M, GEORGE S, et al.Design and analysis of a delay sensor applicable to process/environmental
variations and aging measurements[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2011, 20(8): 1405-1418. [31] LI J, SEOK M. Robust and in-situ self-testing technique for monitoring device aging effects in pipeline circuits[C]//Proceedings of the 51st Annual Design Automation Conference. 2014:1-6. [32] KEANE J, WANG X, PERSAUD D, et al. An all-in-one silicon odometer for separately monitoring HCI, BTI, and TDDB[J]. IEEE Journal of Solid-State Circuits, 2010, 45(4): 817-829. [33]?? 张海明,汪鹏君,张跃军.基于查找表的电路老化检测传感器设计[J].宁波大学学报(理工版),2019,32(4):25-31. [34] TSCHANZ J, BOWMAN K, WALSTRA S, et al. Tunable replica circuits and adaptive voltage-frequency techniques for dynamic voltage, temperature, and aging variation tolerance[C]//2009 Symposium on VLSI Circuits. IEEE, 2009: 112-113. [35]?? 魏敬和,林军. 深度学习算法、硬件技术及其在未来军事上的应用[J].电子与封装,2019,19(12):1-6, 22. [36] VIJAYAN A, KONERU A, KIAMEHR S, et al.Fine-grained aging-induced delay prediction based on the monitoring of run-time stress[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2016, 37(5): 1064-1075. [37] VIJAYAN A, KIAMEHR S, EBRAHIMI M, et al. Online soft-error vulnerability estimation for memory arrays and logic cores[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017, 37(2): 499-511. [38] FIROUZI F, YE F, CHAKRABARTY K, et al.Representative critical-path selection for aging-induced delay monitoring[C]//2013 IEEE International Test Conference (ITC). IEEE, 2013: 1-10. [39] WANG H, HUANG D, LIU R, et al. STREAM:stress and thermal aware reliability management for 3-D ICs[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018, 38(11): 2058-2071. [40] HUANG K, ZHANG X, KARIMI N. Real-time prediction for IC aging based on machine learning[J]. IEEE Transactions on Instrumentation
and Measurement, 2019, 68(12): 4756-4764. [41] VIJAYAN A , KIAMEHR S , OBORIL F , et al.Workload-aware static aging monitoring and mitigation of timing-critical flip-flops[J]. IEEE Transactions on Computer Aided Design of Integrated Circuits & Systems, 2018, 37(10):2098-2110. [42]?? 阮莹,梁利娟. 数字集成电路老化故障高精度预测方法仿真[J].计算机仿真,2020,37(2):434-437. |