[1] MACK C A. Fifty years of Moore's Law[J]. IEEE Transactions on Semiconductor Manufacturing, 2011, 24(2): 202-207. [2] ESASHI M. Wafer level packaging of MEMS[J]. Journal of Micromechanics and Microengineering, 2008, 18(7): 073001. [3] LAU J H. Overview and outlook of through-silicon via (TSV) and 3D integrations[J]. Microelectronics International, 2011, 28(2): 8-22. [4] PAREKH M S, THADESAR P A, BAKIR M S. Electrical, optical and fluidic through-silicon vias for silicon interposer applications[C]//2011 IEEE 61st Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, USA, 2011: 1992-1998. [5] BENINI L, DE MICHELI G. Networks on chips: a new SoC paradigm[J]. Computer, 2002, 35(1): 70-78. [6] TAI K L. System-In-Package (SIP): challenges and opportunities[C]//Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106), Yokohama, Japan, 2000: 191-196. [7] POUPON G, SILLON N, HENRY D, et al. System on wafer: a new silicon concept in SiP[J]. Proceedings of the IEEE, 2009, 97(1): 60-69. [8] CHUN S R, KUO T H, TSAI H Y, et al. InFO_SoW (system-on-wafer) for high performance computing[C]//2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2020: 1-6. [9] TALPES E, WILLIAMS D, DAS SARMA D. DOJO: the microarchitecture of tesla’s exa-scale computer[C]//2022 IEEE Hot Chips 34 Symposium (HCS), Cupertino, CA, USA, 2022: 1-28. [10] TALPES E, DAS SARMA D, WILLIAMS D, et al. The microarchitecture of DOJO, tesla’s exa-scale computer[J]. IEEE Micro, 2023, 43(3): 31-39. [11] YU D C H. New system-in-package (SiP) integration technologies[C]//Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, San Jose, CA, USA, 2014: 1-6. [12] YU D C H. Wafer level system integration for SiP[C]//2014 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 2014: 27.1.1-27.1.4. [13] HOU S Y, CHEN W C, HU C, et al. Wafer-level integration of an advanced logic-memory system through the second-generation CoWoS technology[J]. IEEE Transactions on Electron Devices, 2017, 64(10): 4071-4077. [14] HUANG P K, LU C Y, WEI W H, et al. Wafer level system integration of the fifth generation CoWoS?-S with high performance Si interposer at 2500 mm2[C]//2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 101-104. [15] GUPTA P, IYER S S. Goodbye, motherboard. Bare Chiplets bonded to silicon will make computers smaller and more powerful: Hello, silicon-interconnect fabric[J]. IEEE Spectrum, 2019, 56(10): 28-33. [16] IYER S S. Heterogeneous integration using the silicon interconnect fabric[C]//2018 IEEE 2nd Electron Devices Technology and Manufacturing Conference (EDTM), Kobe, Japan, 2018: 135-137. [17] IYER S S, JANGAM S, VAISBAND B. Silicon interconnect fabric: a versatile heterogeneous integration platform for AI systems[J]. IBM Journal of Research and Development, 2019, 63(6): 5: 1-5, 16. [18] JANGAM S, IYER S S. Silicon-interconnect fabric for fine-pitch (≤10 μm) heterogeneous integration[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2021, 11(5): 727-738. [19] JANGAM S, RATHORE U, NAGI S, et al. Demonstration of a low latency (<20 ps) fine-pitch (≤10 μm) assembly on the silicon interconnect fabric[C]//2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2020: 1801-1805. [20] SAHOO K, RATHORE U, CHANDRA JANGAM S, et al. Functional demonstration of < 0.4-pJ/bit, 9.8 μm fine-pitch dielet-to-dielet links for advanced packaging using silicon interconnect fabric[C]//2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 2104-2110. [21] SHAH U, MOGERA U, AMBHORE P, et al. Dynamic thermal management of silicon interconnect fabric using flash cooling[C]//2019 18th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), Las Vegas, NV, USA, 2019: 1228-1233. [22] LIU M H, VAISBAND B, HANNA A, et al. Process development of power delivery through wafer vias for silicon interconnect fabric[C]//2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2019: 579-586. [23] Wafer-scale deep learning[C]//2019 IEEE Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, 2019: 1-31. [24] LIE S A. Cerebras architecture deep dive: first look inside the HW/SW co-design for deep learning: cerebras systems[C]//2022 IEEE Hot Chips 34 Symposium (HCS), Cupertino, CA, USA, 2022: 1-34. [25] HAN Y H, XU H B, LU M X, et al. The big chip: challenge, model and architecture[J]. Fundamental Research, 2023 [26] WANG C Z, LIU G D, WANG W H, et al. Design and fabrication of a wafer-level interposer for system-on-wafer packaging application[C]//2023 24th International Conference on Electronic Packaging Technology (ICEPT), Shihezi, China, 2023. [27] WANG W H, LI J, WANG C Z, et al. Demonstration of a wafer-level integration for system-on-wafer architecture[C]//2023 24th International Conference on Electronic Packaging Technology (ICEPT), Shihezi, China, 2023. [28] LI J, LIU G D, WANG C Z, et al. Embedded microchannel cooling for the system on wafer packaging[C]//2023 6th International Conference on Electronics Technology (ICET), Chengdu, China, 2023: 411-415. [29] LI J, LIU G D, WANG W H, et al. Embedded wafer-level microfluidic cooling designs for the system on wafer packaging[C]//2023 24th International Conference on Electronic Packaging Technology (ICEPT), Shihezi, China, 2023. [30] CAO R, LIU G D, LI J, et al. Research on the cooling system for the system-on-wafer packaging[C]//2023 IEEE 18th International Conference on Nano/Micro Engineered and Molecular Systems (NEMS), Jeju Island, Korea, 2023: 33-36. [31] DUAN Y X, LIU G D, WANG W H, et al. Finite element modeling and analysis method for predicting and optimizing the warpage of construction before flip chip bonding in system-on-wafer process flow[J]. Microelectronics Reliability, 2023, 151: 115260. [32] PAL S, PETRISKO D, TOMEI M, et al. Architecting waferscale processors - A GPU case study[C]//2019 IEEE International Symposium on High Performance Computer Architecture (HPCA), Washington, DC, USA, 2019: 250-263. [33] KAMAL REDDY T N, SWAIN A K, SINGH J K, et al. Performance assessment of different network-on-chip topologies[C]//2014 2nd International Conference on Devices, Circuits and Systems (ICDCS), Combiatore, India, 2014: 1-5. [34] LUDOVICI D, GILABERT F, MEDARDONI S, et al. Assessing fat-tree topologies for regular network-on-chip design under nanoscale technology constraints[C]//2009 Design, Automation & Test in Europe Conference & Exhibition, Nice, 2009: 562-565. [35] DEHON A. Compact, multilayer layout for butterfly fat-tree[C]//Proceedings of the twelfth annual ACM symposium on Parallel algorithms and architectures, Bar Harbor, Maine, USA, 2000: 206-215. [36] BERTOZZI D, BENINI L. Feature - Xpipes: a network-on-chip architecture for gigascale systems-on-chip[J]. IEEE Circuits and Systems Magazine, 2004, 4(2): 18-31. [37] Alimi A, Aboderin O, Muga N J, et al. Network-on-chip topologies: potentials, technical challenges, recent advances and research direction[M/OL].(2021-4-13)[2024-7-16] https://www.intechopen.com/chapters/76266. [38] BODEN N J, COHEN D, FELDERMAN R E, et al. Myrinet: a gigabit-per-second local area network[J]. IEEE Micro, 1995, 15(1): 29-36. [39] FLICH J, SKEIE T, MEJIA A, et al. A survey and evaluation of topology-agnostic deterministic routing algorithms[J]. IEEE Transactions on Parallel and Distributed Systems, 2012, 23(3): 405-425. [40] GLASS C J, NI L M. The turn model for adaptive routing[C]//[1992] Proceedings the 19th Annual International Symposium on Computer Architecture, Gold Coast, Australia, 1992. [41] DALLY, SEITZ. Deadlock-free message routing in multiprocessor interconnection networks[J]. IEEE Transactions on Computers, 1987, C-36(5): 547-553. [42] HELLINGS G, SCHOLZ M, DETALLE M, et al. Active-lite interposer for 2.5 & 3D integration[C]//2015 Symposium on VLSI Technology (VLSI Technology), Kyoto, Japan, 2015: 222-223. [43] ZHU Z B, LIU Q R, LIU D P, et al. MHSDN: a hierarchical software defined network reliability framework design[J]. IET Information Security, 2023, 17(1): 102-117. [44] YAN B H, LIU Q R, SHEN J L, et al. Cost-effective and accurate flow statistics collection in OpenFlow-based SDN[J]. International Journal of Network Management, 2022, 32(4): e2197. [45] YIN J M, LIN Z F, KAYIRAN O, et al. Modular routing design for Chiplet-based systems[C]//2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA), Los Angeles, CA, 2018: 726-738. [46] 李扬. SiP系统级封装设计仿真技术[J]. 电子技术应用, 2017, 43(7): 47-50. [47] 华大九天.先进封装自动布线工具[EB/OL]. [2023-12-6]. https://www.empyrean.com.cn/products/eda/advanced-packaging/advanced-packaging-automatic-routing-tool.html. [48] KIM J, MURALI G, PARK H, et al. Architecture, chip, and package codesign flow for interposer-based 2.5-D Chiplet integration enabling heterogeneous IP reuse[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2020, 28(11): 2424-2437. [49] KABIR M A, PENG Y R. Chiplet-package co-design for 2.5D systems using standard ASIC CAD tools[C]//2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), Beijing, China, 2020: 351-356. [50] CAO Z B, GORITZ A, STOCCHI M, et al. An advanced finite element model for BiCMOS process oriented ultra-thin wafer deformation[J]. IEEE Transactions on Semiconductor Manufacturing, 2022, 35(1): 2-10. [51] CHUANG W C, HUANG Y, CHEN P E. Exploring the influence of material properties of epoxy molding compound on wafer warpage in fan-out wafer-level packaging[J]. Materials (Basel), 2023, 16(9): 3482. [52] BERTHELOT J M. Composite materials: mechanical behavior and structural analysis[M]. New York: Springer, 1999. [53] 孙国立, 秦飞, 代岩伟, 等. 基于层级多尺度方法的TSV晶圆翘曲预测模型研究[J]. 微电子学与计算机, 2023, 40(1): 130-137. [54] OTERO F, OLLER S, MARTINEZ X, et al. Numerical homogenization for composite materials analysis. Comparison with other micro mechanical formulations[J]. Composite Structures, 2015, 122: 405-416. [55] SWENSON C A. Recommended values for the thermal expansivity of silicon from 0 to 1000 K[J]. Journal of Physical and Chemical Reference Data, 1983, 12(2): 179-182. [56] LI W, YU D Q. Experimental and numerical investigations of the warpage of fan-out wafer-level packaging with SAW filters[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2022, 12(5): 869-877. [57] SAFARI Y, VAISBAND B. Power delivery for silicon interconnect fabric[C]//2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea, 2021: 1-5. [58] SALATO M. Datacenter power architecture: IBA versus FPA[C]//2011 IEEE 33rd International Telecommunications Energy Conference (INTELEC), Amsterdam, Netherlands, 2011: 1-4. [59] 李江达, 韩留军, 曾正. 一种新型高压输入开关电源的设计[J]. 电子与封装, 2012, 12(5): 18-21. [60] 张强,叶宇,廖朝顺. 一种电源连接器:CN202211369223.6[P]. 2003-03-03. [61] BURTON E A, SCHROM G, PAILLET F, et al. FIVR—Fully integrated voltage regulators on 4th generation Intel? Core? SoCs[C]//2014 IEEE Applied Power Electronics Conference and Exposition - APEC 2014, Fort Worth, TX, USA, 2014: 432-439. [62] KANNAN K T, IYER S S. Deep trench capacitors in silicon interconnect fabric[C]//2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2020: 2295-2301. [63] HOU S Y, WU C H, YU D, et al. Integrated deep trench capacitor in Si interposer for CoWoS heterogeneous integration[C]//2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019: 19.5.1-19.5.4. [64] CNBC. Tesla unveils chip to train A.I. models inside its data centers[EB/OL]. [2023-10-31]. https://www. cnbc.com/2021/08/19/tesla-unveils-dojo-d1-chip-at-ai-day.html. [65] NVIDIA[EB/OL]. [2023-10-31]. https://www.nvidia.cn/data-center/h100/. [66] 中国通服数字基建产业研究院. 中国数据中心产业发展白皮书[R]. 杭州:中国通服数字基建产业研究院,2023. [67] DENG Z, SHEN J, DAI W, et al. Experimental study on cooling of high-power laser diode arrays using hybrid microchannel and slot jet array heat sink[J]. Applied Thermal Engineering, 2019, 162: 114242. [68] VAN ERP R, SOLEIMANZADEH R, NELA L, et al. Co-designing electronics with microfluidics for more sustainable cooling[J]. Nature, 2020, 585: 211-216. [69] ZHANG N, JIAO B B, YE Y X, et al. Embedded cooling method with configurability and replaceability for multi-chip electronic devices[J]. Energy Conversion and Management, 2022, 253: 115124.
|