[1] BRINKMAN W F, HAGGAN D E. A history of the invention of the transistor and where it will lead us[J]. IEEE Journal of Solid-State Circuits, 1997, 32(12): 1858-1865. [2] GARGINI P. The International Technology Roadmap for Semiconductors (ITRS): “Past, present and future”[C]// GaAs IC Symposium. IEEE Gallium Arsenide Integrated Circuits Symposium, Seattle, 2002. [3] MATSUZAWA A. RF-SoC-expectations and required conditions[J]. IEEE Transactions on Microwave Theory and Techniques, 2002, 50(1): 245-253. [4] HAURYLAU M, CHEN G Q, CHEN H, et al. On-chip optical interconnect roadmap: Challenges and critical directions[J]. IEEE Journal on Selected Topics in Quantum Electronics, 2006, 12(6): 1699-1704. [5] KOYANAGI M, KURINO H, LEE K W, et al. Future system-on-silicon LSI chips[J]. IEEE Micro, 1998, 18(4): 17-22. [6] LI T, HOU J, YAN J, et al. Chiplet heterogeneous integration technology-status and challenges[J]. Electronics, 2020, 9(4): 670. [7] YU D. TSMC packaging technologies for chiplets and 3D[C]// Hot Chips Symposium 2021. Online, 2021. [8] WANG T Q, FENG F, XIANG S L, et al. Application defined on-chip networks for heterogeneous chiplets: An implementation perspective[C]// 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA 2022), Seoul, 2022. [9] LOH G H, NAFFZIGER S, LEPAK K M. Understanding chiplets today to anticipate future integration opportunities and limits[C]// 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), Online, 2021. [10] VIVET P, GUTHMULLER E, THONNART Y, et al. IntAct: A 96-core processor with six chiplets 3D-stacked on an active interposer with distributed interconnects and integrated power management[J]. IEEE Journal of Solid-State Circuits, 2020, 56(1): 79-97. [11] 杨晖. 后摩尔时代Chiplet技术的演进与挑战[J]. 集成电路应用, 2020, 37(5): 52-54. [12] LIN L, YEH T C, WU J L, et al. Reliability characterization of Chip-on-Wafer-on-Substrate (CoWoS) 3D IC integration technology[J]. Proceedings - Electronic Components and Technology Conference, 2013: 366-371. [13] INGERLY D B, AMIN S, ARYASOMAYAJULA L, et al. Foveros: 3D integration and the use of face-to-face chip stacking for logic devices[C]// Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, 2019. [14] LAU J H. 3D IC Integration and 3D IC Packaging[J]. Semiconductor Advanced Packaging, 2021: 343-378. [15] HASLAM K. M1 chip guide: M1, M1 Pro, M1 Max and M1 Ultra[EB/OL]. (2022-06-04)[2023-03-21]. https://www.macworld.com/article/676321/m1-pro-max-ultra-guide.html. [16] SWAMINATHAN M, KIM J, NOVAK I, et al. Power distribution networks for system-on-package: Status and challenges [J]. IEEE Transactions on Advanced Packaging, 2004, 27(2): 286-300. [17] RADHAKRISHNAN K, SWAMINATHAN M, BHATTACHARYYA B K. Power delivery for high-performance microprocessors-challenges, solutions, and future trends [J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2021, 11(4): 655-71. [18] PAK J S, KIM J, CHO J, et al. Pdn impedance modeling and analysis of 3d tsv ic by using proposed p/g tsv array model based on separated p/g tsv and chip-pdn models[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2011, 1(2): 208-219. [19] SERCU J, SCHWARTZMANN T. Modeling of through-silicon via's (TSV) with a 3D planar integral equation solver[C]// 2014 International Conference on Numerical Electromagnetic Modeling and Optimization for RF, Microwave, and Terahertz Applications, Pavia, 2014. [20] 夏逵亮. 三维集成电路中PDN的IR-drop研究[D]. 西安: 西安电子科技大学, 2019. [21] CHEN J C, TZENG P J, CHEN S C, et al. Impact of slurry in Cu CMP (chemical mechanical polishing) on Cu topography of through silicon vias (TSVs), re-distribution layers, and Cu exposure[C]// 2011 IEEE 61st Electronic Components and Technology Conference, Lake Buena Vista, 2011. [22] KIM H. Design and analysis of hierarchical power distribution network (PDN) for full wafer scale chip (FWSC) module[C]// 2022 IEEE Electrical Design of Advanced Packaging and Systems (EDAPS), Urbana, 2022. [23] HE H Y. Quantitative analysis and modeling of 3-D TSV-based power delivery architectures[D]. New York, Rensselaer Polytechnic Institute, 2015. [24] BRAUNISCH H, ALEKSOV A, LOTZ S, et al. High-speed performance of Silicon Bridge die-to-die interconnects[C]// 2011 IEEE 20th Conference on Electrical Performance of Electronic Packaging and Systems. San Jose, 2011. [25] ZHANG X C, JO P K, ZIA M, et al. Heterogeneous interconnect stitching technology with compressible microInterconnects for dense multi-die integration[J]. IEEE Electron Device Letters, 2017, 38(2): 255-257. [26] ZHANG Y, HOSSEN M O, BAKIR M S. Power delivery network benchmarking for interposer and bridge-chip-based 2.5-D integration[J]. IEEE Electron Device Letters, 2018, 39(1): 99-102. [27] MAHAJAN R, SANKMAN R, PATEL N, et al. Embedded multi-die interconnect bridge (EMIB): a high density, high bandwidth packaging interconnect[C]// 2016 IEEE Electronic Components and Technology Conference Las Vegas, 2016. [28] CHO K, KIM Y, KIM S, et al. Power distribution network (PDN) design and analysis of a single and double-sided high bandwidth memory (HBM) interposer for 2.5D Terabtye/s bandwidth system[C]// 2016 IEEE International Symposium on Electromagnetic Compatibility (EMC), Ottawa, 2016. [29] ZHANG Y, HOSSEN M O, BAKIR M S. Power delivery network modeling and benchmarking for emerging heterogeneous integration technologies[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2019, 9(9): 1825-1834. [30] HOU S Y, WU C H, YU D, et al. Integrated deep trench capacitor in Si interposer for CoWoS heterogeneous integration[C]// 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, 2019. [31] YONG K F, LIM C T, TENG W K. System level IR-drop impact on chip power performance signoff for RISC-V system on chip[C]// 2022 17th International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT). Taipei, 2022. |