[1] BANERJEE K, MEHROTRA A. Global (interconnect) warming[J]. IEEE Circuits and Devices Magazine, 2001, 17(5): 16-32. [2] PEDRAM M, NAZARIAN S. Thermal modeling, analysis, and management in VLSI circuits: principles and methods[J]. Proceedings of the IEEE, 2006, 94(8): 1487-1501. [3] 罗心月. 三维集成电路TSV阵列热特性研究[D]. 西安: 西安电子科技大学, 2019. [4] FAKHREDDINE Z, LAHBACHA K, MELNIKOV A, et al. Signal and thermal integrity analysis of 3-D stacked resistive random access memories[J]. IEEE Transactions on Electron Devices, 2021, 68(1): 88-94. [5] ZHOU J Y, WANG Z, WEI C, et al. Three-dimensional simulation of effects of electro-thermo-mechanical multi-physical fields on Cu protrusion and performance of micro-bump joints in TSVs based high bandwidth memory (HBM) structures[C]//2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2020: 1659-1664. [6] BELKHIRIA M, ECHOUCHENE F, JABA N, et al. 2-D-nonlinear electrothermal model for investigating the self-heating effect in GAAFET transistors[J]. IEEE Transactions on Electron Devices, 2021, 68(3): 954-961. [7] CHAI J R, DONG G, YANG Y T. Nonlinear electrothermal model for investigating transient temperature responses of a through-silicon via array applied with Gaussian pulses in 3-D IC[J]. IEEE Transactions on Electron Devices, 2019, 66(2): 1032-1040. [8] CHAI J R, DONG G, YANG Y T. An effective approach for thermal performance analysis of 3-D integrated circuits with through-silicon vias[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2019, 9(5): 877-887. [9] WANG X L, CHEN D D, LI D, et al. The development and progress of multi-physics simulation design for TSV-based 3D integrated system[J]. Symmetry, 2023, 15(2): 418. [10] ZHANG H Y, CHE F X, LIN T Y, et al. Modeling, analysis, design, and tests for electronics packaging beyond Moore[M]. Duxford: Woodhead Publishing, 2020. [11] 戈长丽. 三维封装系统TSV和微通道的热建模技术[D]. 上海: 上海交通大学, 2019. [12] ZHU Q F, SU R R, HU L Y, et al. Heat transfer enhancement for microchannel heat sink by strengthening fluids mixing with backward right-angled trapezoidal grooves in channel sidewalls[J]. International Communications in Heat and Mass Transfer, 2022, 135: 106106. [13] ALFELLAG M A, AHMED H E, JEHAD M G, et al. Assessment of heat transfer and pressure drop of metal foam-pin-fin heat sink[J]. International Journal of Thermal Sciences, 2021, 170: 107109. [14] DING B, ZHANG Z H, GONG L, et al. Coupling management optimization of temperature and thermal stress inside 3D-IC with multi-cores and various power density[J]. International Communications in Heat and Mass Transfer, 2021, 120: 105021. [15] DING B, ZHANG Z H, GONG L, et al. A novel thermal management scheme for 3D-IC chips with multi-cores and high power density[J]. Applied Thermal Engineering, 2020, 168: 114832. [16] FENG S, YAN Y F, LI H J, et al. Thermal management of 3D chip with non-uniform hotspots by integrated gradient distribution annular-cavity micro-pin fins[J]. Applied Thermal Engineering, 2021, 182: 116132. [17] FENG S, YAN Y F, LI H J, et al. Heat transfer characteristics investigations on liquid-cooled integrated micro pin-fin chip with gradient distribution arrays and double heating input for intra-chip micro-fluidic cooling[J]. International Journal of Heat and Mass Transfer, 2020, 159: 120118. [18] KAUL A, RAJAN S K, OBAIDUL HOSSEN M, et al. BEOL-embedded 3D polylithic integration: thermal and interconnection considerations[C]//2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2020: 1459-1467. [19] XU Q, CHEN S. Fast thermal analysis for fixed-outline 3D floorplanning[J]. Integration, 2017, 59: 157-167. [20] 李丹, 刘四平, 黄立恒, 等. 3D IC-TSV技术的散热特性研究[J]. 环境技术, 2023, 41(6): 54-60. [21] 王美玉, 胡伟波, 孙晓冬, 等. 功率电子封装关键材料和结构设计的研究进展[J]. 电子与封装, 2021, 21(10): 100109. [22] 崔玉强, 潘中良. 三维集成电路中TTSV的散热性能[J]. 电子技术与软件工程, 2018(18): 81-83. [23] 杨哲铭. 碳纳米管在三维集成电路芯片硅通孔中的应用[J]. 科学咨询(科技·管理), 2020(9): 124. [24] HU J, WANG L Q, JIN L F, et al. Electrical modeling and characterization of through silicon vias (TSV)[C]//2012 International Conference on Microwave and Millimeter Wave Technology (ICMMT), Shenzhen, China, 2012. [25] FU K, ZHAO W S, WANG G F, et al. Modeling and performance analysis of shielded differential annular through-silicon via (SD-ATSV) for 3-D ICs[J]. IEEE Access, 2018, 6: 33238-33250. [26] XU C, LI H, SUAYA R, et al. Compact AC modeling and performance analysis of through-silicon vias in 3-D ICs[J]. IEEE Transactions on Electron Devices, 2010, 57(12): 3405-3417. [27] LU Q J, ZHU Z M, YANG Y T, et al. Electrical modeling and characterization of shield differential through-silicon vias[J]. IEEE Transactions on Electron Devices, 2015, 62(5): 1544-1552. [28] SALAH K, RAGAI H, ISMAIL Y, et al. Equivalent lumped element models for various n-port Through Silicon Vias networks[C]//16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011), Yokohama, Japan, 2011: 176-183. [29] LU Q J, ZHU Z M, LIU Y, et al. Wideband electromagnetic modeling of coaxial-annular through-silicon vias[J]. IEEE Transactions on Electromagnetic Compatibility, 2018, 60(6): 1915-1922. [30] SAVIDIS I, ALAM S M, JAIN A, et al. Electrical modeling and characterization of through-silicon vias (TSVs) for 3-D integrated circuits[J]. Microelectronics Journal, 2010, 41(1): 9-16. [31] RAO M. Electrical modeling and characterization of copper/carbon nanotubes in tapered through silicon vias[C]//2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID), Hyderabad, India, 2017: 366-371. [32] HU Q H, ZHAO W S, FU K, et al. Modeling and characterization of differential multibit carbon-nanotube through-silicon vias[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2020, 10(3): 534-537. [33] WEERASEKERA R, GRANGE M, PAMUNUWA D, et al. Compact modelling of through-silicon vias (TSVs) in three-dimensional (3-D) integrated circuits[C]//2009 IEEE International Conference on 3D System Integration, San Francisco, CA, USA, 2009: 1-8. [34] KATTI G, STUCCHI M, DE MEYER K, et al. Electrical modeling and characterization of through silicon via for three-dimensional ICs[J]. IEEE Transactions on Electron Devices, 2010, 57(1): 256-262. [35] KIM J, PAK J S, CHO J, et al. High-frequency scalable electrical model and analysis of a through silicon via (TSV)[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2011, 1(2): 181-195. [36] DAHL D, DUAN X M, NDIP I, et al. Efficient computation of localized fields for through silicon via modeling up to 500 GHz[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2015, 5(12): 1793-1801. [37] HUANG C, LIU S, ZHU J P, et al. A novel modeling of TSV MOS capacitance by finite difference method[C]//2014 15th International Conference on Electronic Packaging Technology, Chengdu, China, 2014: 881-884. [38] XIE B C, SWAMINATHAN M. FDFD modeling of signal paths with TSVs in silicon interposer[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2014, 4(4): 708-717. [39] HAN K J, SWAMINATHAN M, BANDYOPADHYAY T. Electromagnetic modeling of through-silicon via (TSV) interconnections using cylindrical modal basis functions[J]. IEEE Transactions on Advanced Packaging, 2010, 33(4): 804-817. [40] XIE J Y, SWAMINATHAN M. DC IR drop solver for large scale 3D power delivery networks[C]//19th Topical Meeting on Electrical Performance of Electronic Packaging and Systems, Austin, TX, USA, 2010: 217-220. [41] LAN J S, WU M L. An analytical model for thermal failure analysis of 3D IC packaging[C]//2014 15th International Conference on Thermal, Mechanical and Mulit-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE), Belgium, 2014: 1-5. [42] HUANG P Y, LEE Y M. Full-chip thermal analysis for the early design stage via generalized integral transforms[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2009, 17(5): 613-626. [43] ZHAO Y, HAO C, YOSHIMURA T. Thermal and wirelength optimization with TSV assignment for 3-D-IC[J]. IEEE Transactions on Electron Devices, 2019, 66(1): 625-632. [44] LIU Z, SWARUP S, TAN S X D, et al. Compact lateral thermal resistance model of TSVs for fast finite-difference based thermal analysis of 3-D stacked ICs[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2014, 33(10): 1490-1502. [45] ZHAN Y, SAPATNEKAR S S. High-efficiency green function-based thermal simulation algorithms[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2007, 26(9): 1661-1675. [46] JAIN A, JONES R E, CHATTERJEE R, et al. Analytical and numerical modeling of the thermal performance of three-dimensional integrated circuits[J]. IEEE Transactions on Components and Packaging Technologies, 2010, 33(1): 56-63. [47] WANG T Y, CHEN C C P. 3-D thermal-ADI: a linear-time chip level transient thermal simulator[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2006, 21(12): 1434-1445. [48] WANG T Y, CHEN C C P. Thermal-ADI: a linear-time chip-level dynamic thermal-simulation algorithm based on alternating-direction-implicit (ADI) method[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2003, 11(4): 691-700. [49] KUO W S, WANG M Z, CHEN E, et al. Thermal investigations of 3D FCBGA packages with TSV technology[C]//2008 3rd International Microsystems, Packaging, Assembly & Circuits Technology Conference, Taipei, China, 2008: 251-254. [50] FU J Y, HOU L G, WANG J H, et al. A novel thermal-aware structure of TSV cluster[C]//2015 28th IEEE International System-on-Chip Conference (SOCC), Beijing, China, 2015: 406-409. [51] ZHU J P, LIU Y Y, ZHUANG W, et al. Fast thermal analysis of TSV-based 3D-ICs by GMRES with symmetric successive over-relaxation (SSOR) preconditioning[C]//2015 IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS), Seoul, South Korea, 2015: 178-181. [52] TODRI A, KUNDU S, GIRARD P, et al. A study of tapered 3-D TSVs for power and thermal integrity[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2013, 21(2): 306-319. [53] HOE Y Y G, YUE T G, DAMARUGANATH P, et al. Effect of TSV interposer on the thermal performance of FCBGA package[C]//2009 11th Electronics Packaging Technology Conference, Singapore, 2009: 778-786. [54] WANG X P, ZHAO W S, YIN W Y. Electrothermal modelling of through silicon via (TSV) interconnects[C]//2010 IEEE Electrical Design of Advanced Package & Systems Symposium, Singapore, Singapore, 2010: 1-4. [55] ZHAO W S, WANG X P, XU X L, et al. Electrothermal modeling of coaxial through silicon via (TSV) for three-dimensional ICs[C]//2010 IEEE Electrical Design of Advanced Package & Systems Symposium, Singapore, Singapore, 2010: 1-4. [56] KATTI G, STUCCHI M, VELENIS D, et al. Temperature-dependent modeling and characterization of through-silicon via capacitance[J]. IEEE Electron Device Letters, 2011, 32(4): 563-565. [57] WANG X P, YIN W Y, HE S L. Multiphysics characterization of transient electrothermomechanical responses of through-silicon vias applied with a periodic voltage pulse[J]. IEEE Transactions on Electron Devices, 2010, 57(6): 1382-1389. [58] LU T J, JIN J M. Electrical-thermal co-simulation for DC IR-drop analysis of large-scale power delivery[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2014, 4(2): 323-331. [59] LU T J, JIN J M. Thermal-aware high-frequency characterization of large-scale through-silicon-via structures[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2014, 4(6): 1015-1025. [60] LU T J, JIN J M. Transient electrical-thermal analysis of 3-D power distribution network with FETI-enabled parallel computing[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2014, 4(10): 1684-1695. [61] SAI M P D, YU H, SHANG Y, et al. Reliable 3-D clock-tree synthesis considering nonlinear capacitive TSV model with electrical–thermal–mechanical coupling[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2013, 32(11): 1734-1747. [62] JIANG L J, XU C, RUBIN B J, et al. A thermal simulation process based on electrical modeling for complex interconnect, packaging, and 3DI structures[J]. IEEE Transactions on Advanced Packaging, 2010, 33(4): 777-786. [63] 朱伟军. 三维集成电路中硅通孔电源分配网络分析与设计[D]. 西安电子科技大学, 2019. [64] 高文超, 周强, 钱旭, 等. 应用于三维集成电路解析式布局的层分配算法[J]. 计算机应用, 2013, 33(6): 1548-1552. [65] CHEN Y B, KURSUN E, MOTSCHMAN D, et al. Through silicon via aware design planning for thermally efficient 3-D integrated circuits[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2013, 32(9): 1335-1346. [66] MADADI R R, BALAJI C. Optimization of the location of multiple discrete heat sources in a ventilated cavity using artificial neural networks and micro genetic algorithm[J]. International Journal of Heat and Mass Transfer, 2008, 51(9/10): 2299-2312. [67] SAHA D, SUR-KOLAY S. Guided GA-based multiobjective optimization of placement and assignment of TSVs in 3-D ICs[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2019, 27(8): 1742-1750. [68] GRZESIAK-KOPE? K, ORAMUS P, OGORZA?EK M. Hypergraphs and extremal optimization in 3D integrated circuit design automation[J]. Advanced Engineering Informatics, 2017, 33: 491-501. [69] SATOMI Y, HACHIYA K, KANAMOTO T, et al. Thermal placement on PCB of components including 3D ICs[J]. IEICE Electronics Express, 2020, 17(3): 20190737. [70] 胡中星, 王琴, 谢憬, 等. 基于热影响及布局利用率的三维集成电路布局规划算法设计[J]. 微电子学与计算机, 2016, 33(4): 1-5. [71]Srikanth R, Nemani P, Balaji C. Multi-objective geometric optimization of a PCM based matrix type composite heat sink[J]. Applied energy, 2015, 156: 703-714. |