[1] DENNARD R H, GAENSSLEN F H, YU H N, et al. Design of ion-implanted MOSFET’s with very small physical dimensions[J]. IEEE Journal of Solid-State Circuits, 1974, 9(5): 256-268. [2] DAS A, PALESI M, KIM J, et al. Chip and package-scale interconnects for general-purpose, domain-specific, and quantum computing systems: overview, challenges, and opportunities[J]. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2024, 14(3): 354-370. [3] LOH G H, SWAMINATHAN R. The next era for chiplet innovation[C]//2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), Antwerp, Belgium, 2023: 1-6. [4] NAFFZIGER S, BECK N, BURD T, et al. Pioneering chiplet technology and design for the AMD EPYC? and ryzen? processor families: industrial product[C]//2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA), Valencia, Spain, 2021: 57-70. [5] BECK N, WHITE S, PARASCHOU M, et al. ‘zeppelin’: an SoC for multichip architectures[C]//2018 IEEE International Solid - State Circuits Conference - (ISSCC), San Francisco, CA, USA, 2018: 40-42. [6] LEE K M, LEE S J, KIM D, et al. Networks-on-chip and networks-in-package for high-performance SoC platforms[C]//2005 IEEE Asian Solid-State Circuits Conference, Hsinchu, Taiwan, China, 2005: 485-488. [7] EDELSTEIN D, HEIDENREICH J, GOLDBLATT R, et al. Full copper wiring in a sub-0.25 μm CMOS ULSI technology[C]//International Electron Devices Meeting. IEDM Technical Digest, Washington, DC, USA, 1997: 773-776. [8] SHRIVASTAV A, TOMAR G S, SINGH A K. Performance comparison of AMBA bus-based system-on-chip communication protocol[C]//2011 International Conference on Communication Systems and Network Technologies, Katra, Jammu, India, 2011: 449-454. [9] HU Z A, DEL CUVILLO J, ZHU W R, et al. Optimization of dense matrix multiplication on IBM cyclops-64: challenges and experiences[M]//Euro-Par 2006 Parallel Processing. Berlin, Heidelberg: Springer, 2006: 134-144. [10] ZHANG Y P, JEONG T, CHEN F, et al. A study of the on-chip interconnection network for the IBM Cyclops64 multi-core architecture[C]//Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, Rhodes Island, Greece, 2006. [11] BENINI L, DE MICHELI G. Networks on chips: a new SoC paradigm[J]. Computer, 2002, 35(1): 70-78. [12] KUMAR S, JANTSCH A, SOININEN J P, et al. A network on chip architecture and design methodology[C]//Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002, Pittsburgh, PA, USA, 2002: 117-124. [13] OFORI-ATTAH E, AGYEMAN M O. A survey of low power NoC design techniques[C]//Proceedings of the 2nd International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, Stockholm, Sweden, 2017: 22-27. [14] TOPOL A W, LA TULIPE D C, SHI L, et al. Three-dimensional integrated circuits[J]. IBM Journal of Research and Development, 2006, 50(4.5): 491-506. [15] ABDELLAH M, KOUADRI M A M, SENOUCI B, et al. Networks-In-Package: Performances management and design methodology[C]//2008 IEEE International Symposium on VLSI Design, Automation and Test (VLSI-DAT), Hsinchu, Taiwan, China, 2008: 140-143. [16] KIM J, NICOPOULOS C, PARK D, et al. A novel dimensionally-decomposed router for on-chip communication in 3D architectures[C]//Proceedings of the 34th Annual International Symposium on Computer Architecture, San Diego, CA, USA, 2007: 138-149. [17] USMAN A, SHAH E, SATISHPRASAD N B, et al. Interposer technologies for high-performance applications[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2017, 7(6): 819-828. [18] LAU J H. Multiple system and heterogeneous integration with TSV-interposers[M]//Chiplet Design and Heterogeneous Integration Packaging. Singapore: Springer Nature Singapore, 2023: 137-269. [19] JERGER N E, KANNAN A, LI Z M, et al. NoC architectures for silicon interposer systems: why pay for more wires when you can get them (from your interposer) for free? [C]//2014 47th Annual IEEE/ACM International Symposium on Microarchitecture, Cambridge, UK, 2015: 458-470. [20] SMITH A, LOH G H, NAFFZIGER S, et al. Interconnect design for heterogeneous integration of chiplets in the AMD instinct MI300X accelerator[J]. IEEE Micro, 2025, 45(1): 57-66. [21] FLACK W, HSIEH R, KENYON G, et al. Large area interposer lithography[C]//2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2014: 26-32. [22] MAHAJAN R, SANKMAN R, PATEL N, et al. Embedded multi-die interconnect bridge (EMIB): a high density, high bandwidth packaging interconnect[C]//2016 IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2016: 557-565. [23] SWAMINATHAN R, SCHULTE M J, WILKERSON B, et al. AMD InstinctTM MI250X accelerator enabled by elevated fanout bridge advanced packaging architecture[C]//2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023: 1-2. [24] ABDULLAH M F, LEE H W. Technology review of CNTs TSV in 3D IC and 2.5D packaging: progress and challenges from an electrical viewpoint[J]. Microelectronic Engineering, 2024, 290: 112189. [25] PARK M J, LEE J, CHO K, et al. A 192-Gb 12-high 896-GB/s HBM3 DRAM with a TSV auto-calibration scheme and machine-learning-based layout optimization[J]. IEEE Journal of Solid-State Circuits, 2023, 58(1): 256-269. [26] YOON S W, KU J H, SUTHIWONGSUNTHORN N, et al. Fabrication and packaging of microbump interconnections for 3D TSV[C]//2009 IEEE International Conference on 3D System Integration, San Francisco, CA, USA, 2009: 1-5. [27] LAU J H. Current advances and outlooks in hybrid bonding[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2025, 15(4): 651-681. [28] CHEN M-F, CHEN F-C, CHIOU W C, et al. System on integrated chips (SoIC(TM) for 3D heterogeneous integration[C]//2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2019: 594-599. [29] WUU J, AGARWAL R, CIRAULA M, et al. 3D V-cache: the implementation of a hybrid-bonded 64 MB stacked cache for a 7 nm x86-64 CPU[C]//2022 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 2022: 428-429. [30] DENG Y H, LIU P S, ZHANG Z, et al. 3D package thermal analysis and thermal optimization[J]. Case Studies in Thermal Engineering, 2024, 64: 105465. [31] VAN ERP R, SOLEIMANZADEH R, NELA L, et al. Co-designing electronics with microfluidics for more sustainable cooling[J]. Nature, 2020, 585(7824): 211-216. [32] ZHONG Y, BAO S C, HE Y M, et al. Heterogeneous integration of diamond-on-chip-on-glass interposer for efficient thermal management[J]. IEEE Electron Device Letters, 2024, 45(3): 448-451. [33] KAUR S P, GHOSE M, PATHAK A, et al. A survey on mapping and scheduling techniques for 3D Network-on-chip[J]. Journal of Systems Architecture, 2024, 147: 103064. [34] PANO V, KUTTAPPA R, TASKIN B. 3D NoCs with active interposer for multi-die systems[C]//Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip, New York, USA, 2019: 1-8. [35] GU H X, CHEN K, YANG Y T, et al. MRONoC: a low latency and energy efficient on chip optical interconnect architecture[J]. IEEE Photonics Journal, 2017, 9(1): 4500412. [36] ASADI Y. Optical network-on-chip (ONoC) architectures: a detailed analysis of optical router designs[J]. Journal of Semiconductors, 2025, 46(3): 031401. [37] NISA U U, BASHIR J. Towards efficient on-chip communication: a survey on silicon nanophotonics and optical networks-on-chip[J]. Journal of Systems Architecture, 2024, 152: 103171. [38] KAPUR P, SARASWAT K C. Comparisons between electrical and optical interconnects for on-chip signaling[C]//Proceedings of the IEEE 2002 International Interconnect Technology Conference, Burlingame, CA, USA, 2002: 89-91. [39] HAURYLAU M, CHEN G Q, CHEN H, et al. On-chip optical interconnect roadmap: challenges and critical directions[J]. IEEE Journal of Selected Topics in Quantum Electronics, 2006, 12(6): 1699-1705. [40] FATHOLOLOUMI S. 4 Tb/s optical compute interconnect chiplet for XPU-to-XPU connectivity[C]//2024 IEEE Hot Chips 36 Symposium (HCS), Stanford, CA, USA, 2024: 1-18. [41] STEINMAN M. Hummingbird? low-latency computing engine[C]//2023 IEEE Hot Chips 35 Symposium (HCS), Palo Alto, CA, USA, 2023: 1-20. [42] SUN J L, LIN J J, ZHOU M, et al. High-power, electrically-driven continuous-wave 1.55-μm Si-based multi-quantum well lasers with a wide operating temperature range grown on wafer-scale InP-on-Si (100) heterogeneous substrate[J]. Light: Science & Applications, 2024, 13: 71. [43] SEIDEL L, LIU T R, CONCEPCIóN O, et al. Continuous-wave electrically pumped multi-quantum-well laser based on group-IV semiconductors[J]. Nature Communications, 2024, 15(1): 10502. [44] GUO P X, HOU W G, GUO L, et al. Design for architecture and router of 3D free-space optical network-on-chip[C]//2018 IEEE International Conference on Communications (ICC), Kansas City, MO, USA, 2018: 1-6. [45] LIT A, JUNAIDI N, SUHAILI S, et al. Evaluating NoC and WiNoC architectures for multicore architecture performance[C]//2024 International Conference on Green Energy, Computing and Sustainable Technology (GECOST), Miri Sarawak, Malaysia, 2024: 235-239. [46] LEE S B, TAM S W, PEFKIANAKIS I, et al. A scalable micro wireless interconnect structure for CMPs[C]//Proceedings of the 15th Annual International Conference on Mobile Computing and Networking, Beijing, China, 2009: 217-228. [47] DITOMASO D, KODI A, KAYA S, et al. iWISE: inter-router wireless scalable express channels for network-on-chips (NoCs) architecture[C]//2011 IEEE 19th Annual Symposium on High Performance Interconnects, Santa Clara, CA, USA, 2011: 11-18. [48] ABADAL S, GUIRADO R, TAGHVAEE H, et al. Graphene-based wireless agile interconnects for massive heterogeneous multi-chip processors[J]. IEEE Wireless Communications, 2023, 30(4): 162-169. [49] DURAISAMY K, XUE Y K, BOGDAN P, et al. Multicast-aware high-performance wireless network-on-chip architectures[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2017, 25(3): 1126-1139. [50] TREGUER B, LE GOUGUEC T, MARTIN P M, et al. Silicon parallel-plate waveguide with controlled boundaries for broadcast applications in WiNoC architecture[C]//2023 53rd European Microwave Conference (EuMC), Berlin, Germany, 2023: 657-660. [51] OUYANG Y M, LI Z, XING K, et al. Design of low-power WiNoC with congestion-aware wireless node[J]. Journal of Circuits, Systems and Computers, 2018, 27(9): 1850148. [52] MATOLAK D W, KODI A, KAYA S, et al. Wireless networks-on-chips: architecture, wireless channel, and devices[J]. IEEE Wireless Communications, 2012, 19(5): 58-65. [53] ZHU S Y, ZHOU C Q, WANG Y J. Highly efficient multicast over surface wave in hybrid wireless-optical on-chip networks for IoT HPC[J]. Wireless Communications and Mobile Computing, 2022, 2022(1): 3882894. [54] GUIRADO R, RAHIMI A, KARUNARATNE G, et al. WHYPE: a scale-out architecture with wireless over-the-air majority for scalable in-memory hyperdimensional computing[J]. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2023, 13(1): 137-149.
|