[1] HAO Y, XIANG S Y, HAN G Q, et al. Recent progress of integrated circuits and optoelectronic chips[J]. Science China Information Sciences, 2021, 64(10): 201401. [2] WEI S J, LIN X H, TU F B, et al. Reconfigurability, why it matters in AI tasks processing: A survey of reconfigurable AI chips[J]. IEEE Transactions on Circuits and Systems I: Regular Papers, 2023, 70(3): 1228-1241. [3] SOHN S M, YANG S H, KIM S W, et al. SoC design of an auto-focus driving image signal processor for mobile camera applications[J]. IEEE Transactions on Consumer Electronics, 2006, 52(1): 10-16. [4] LI C X, FAN X Y, WU X T, et al. Memory-computing decoupling: A DNN multitasking accelerator with adaptive data arrangement[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022, 41(11): 4112-4123. [5] XU N N, AN Y Q, YU H Y, et al. A study of the fully on-chip inductor coils for 30 MHz power regulation applications in energy harvesting, sensor networks, and IoT scenarios[J]. IEEE Sensors Letters, 2023, 7(4): 5501404. [6] GUO L. Industry connections report[R]. IEEE 802 Nendica Report: Intelligent Lossless Data Center Networks. [7] SALLAM M. ChatGPT utility in healthcare education, research, and practice: Systematic review on the promising perspectives and valid concerns[J]. Healthcare, 2023, 11(6): 887. [8] DWIVEDI Y K, KSHETRI N, HUGHES L, et al. “So what if ChatGPT wrote it?” Multidisciplinary perspectives on opportunities, challenges and implications of generative conversational AI for research, practice and policy[J]. International Journal of Information Management, 2023, 71: 102642. [9] YIP L, LIN R, LAI C, et al. Reliability challenges of high-density fan-out packaging for high-performance computing applications[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022. [10] International Roadmap for Devices and System[R]. 2022 edition systems and architectures. [11] International Roadmap for Devices and System[R]. 2022 edition systems and architectures. 2022 update more moore. [12] MUNOZ R. Industry scale reuse in the chiplet era[C]// 2023 International VLSI Symposium on Technology, Systems and Applications (VLSI-TSA/VLSI-DAT), HsinChu, Taiwan, China, 2023: 1-2. [13] CHERY E, SLABBEKOORN J, PINHO N, et al. Advances in photosensitive polymer based damascene RDL processes: Toward submicrometer pitches with more metal layers[C]// 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 340-346. [14] LIN Y M, YANG T Y O, LEE O H, et al. A novel polymer-based ultra-high density bonding interconnection[C]//2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2023: 1779-1784. [15] ERIC B, ANNE J, GERALD B, et al. Nano-through silicon vias (nTSV) for backside power delivery networks (BSPDN)[C]// 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, IEEE; 2023. [16] HUANG P K, LU C Y, WEI W H, et al. Wafer level system integration of the fifth generation CoWoS?-S with high performance Si interposer at 2500 mm2[C]// 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 101-104. [17] HOU SY, CHEN W C, HU C, et al. Wafer-level integration of an advanced logic-memory system through the second-generation CoWoS technology[J]. IEEE Trans Electron Devices 2017, 64(10): 4071-4077. [18] LIN P Y, KUO S L, YAN K, et al. Advanced thermal integration for HPC packages with two-phase immersion cooling[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 566-573. [19] SEONG K, PARK D, BAE G, et al. A 4nm 32Gb/s 8 Tb/s/mm Die-to-Die chiplet using NRZ single-ended transceiver with equalization schemes and training techniques[C]// 2023 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 2023: 114-116. [20] LIAO W S, CHIANG C C, WU W M, et al. A high-performance low-cost chip-on-wafer package with sub-μm pitch Cu RDL[C]// 2014 Symposium on VLSI Technology (VLSI-Technology) Honolulu, Hawaii, 2014. [21] DUAN G, KANAOKA Y, MCREE R, et al. Die embedding challenges for EMIB advanced packaging technology[C]// 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 1-7. [22] MAHAJAN R, SANKMAN R, PATEL N, et al. Embedded multi-die interconnect bridge (EMIB)-a high density, high bandwidth packaging interconnect[C]// 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2016. [23] SARVEY T E, KAUL A, RAJAN S K, et al. Microfluidic cooling of a 14-nm 2.5-D FPGA with 3-D printed manifolds for high-density computing: design considerations, fabrication, and electrical characterization[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2019, 9(12): 2393-2403. [24] CHIANG Y P, TAI S P, WU W C, et al. InFO_oS (integrated fan-out on substrate) technology for advanced chiplet integration[C]// 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 130-135. [25] KO T, PU H P, CHIANG Y, et al. Applications and reliability study of InFO-UHD (ultra-high-density) technology[C]// 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2020. [26] HSU C H, LIN Y JO, KUO S L, et al. Thermal characteristics of integrated fan-out on substrate (InFO_oS) packaging technology[C]// 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2020. [27] WANG C T, HSIEH J S, CHANG V C Y, et al. Signal integrity of submicron InFO heterogeneous integration for high performance computing applications[C]// 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2019: 688-694. [28] YU D Q, HUANG Z R, XIAO Z Y, et al. Embedded Si fan out: A low cost wafer level packaging technology without molding and de-bonding processes[C]// 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2017: 28-34. [29] CHEN Z H, ZHANG X W, LIM S P S, et al. Wafer level warpage modelling and validation for FOWLP considering effects of viscoelastic material properties under process loadings[C]// 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2019: 1543-1549. [30] FUKUSHIMA T, ALAM A, HANNA A, et al. Flexible hybrid electronics technology using die-first FOWLP for high-performance and scalable heterogeneous system integration[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2018, 8(10): 1738-1746. [31] CHEN L, WEN B, DU J Y, et al. A polymer-based embedded silicon fan-out packaging (P-eSiFO) method for high-density chiplet packaging[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2023, 13(11): 1743-1749. [32] CHEN C, YU D Q, WANG T, et al. Warpage prediction and optimization for embedded silicon fan-out wafer-level packaging based on an extended theoretical model[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2019, 9(5): 845-853. [33] HU C C, CHEN M F, CHIOU W C, et al. 3D multi-chip integration with system on integrated chips (SoIC TM)[C]// 2019 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), IEEE, 2019. [34] CHEN M F, CHEN F C, CHIOU W C, et al. System on integrated chips SoIC(TM) for 3D heterogeneous integration[C]// 2 019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2019: 594-599. [35] DERAKHSHANDEH J, BEYNE E, CAPUZ G, et al. Novell embedded microbump approach for die-to-die and wafer-to-wafer interconnects with variable microbump diameters and down to 5 pm interconnect pitch scaling[C]// 2019 22nd European Microelectronics and Packaging Conference & Exhibition (EMPC), Pisa, Italy, 2019. [36] XIAO T, WU Z, CHRISTOFIDES P D, et al. Recurrent neural-network-based model predictive control of a plasma etch process[J]. Industrial & Engineering Chemistry Research, 2022, 61(1): 638-652. [37] LANNON J, GREGORY C, LUECK M, et al. High density Cu-Cu interconnect bonding for 3-D integration[C]// 2009 IEEE 59th Electronic Components and Technology Conference, Scottsdale/Fountain Hills, Arizona, 2009. [38] GOMES W, KOKER A, STOVER P, et al. Ponte vecchio: A multi-tile 3D stacked processor for exascale computing[C]// 2022 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 2022: 42-44.. [39] DU J Y, YANG Y C, YU H Q, et al. Embedded cooling with 3D manifold for high power application to 3020 W power[C]// 2022 21st IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (iTherm), San Diego, CA, USA, 2022: 1-6. [40] ZHANG C, PALKO J W, BARAKO M T, et al. Design and optimization of well-ordered microporous copper structure for high heat flux cooling applications[J]. International Journal of Heat and Mass Transfer, 2021, 173: 121241. |