[1] DESAI S B, MADHVAPATHY S R, SACHID A B, et al. MoS2 transistors with 1-nanometer gate lengths[J]. Science, 2016, 354(6308): 99-102. [2] NAFFZIGER S, LEPAK K, PARASCHOU M, et al. 2.2 AMD chiplet architecture for high-performance server and desktop products[C]// 2020 IEEE International Solid-State Circuits Conference (ISSCC), New York: IEEE, 2020: 44-45. [3] LI T, HOU J, YAN J, et al. Chiplet heterogeneous integration technology-Status and challenges[J]. Electronics, 2020, 9(4): 670. [4] PRASAD C, CHUGH S, GREVE H, et al. Silicon reliability characterization of intel’s foveros 3D integration technology for logic-on-logic die stacking[C]// 2020 IEEE International Reliability Physics Symposium (IRPS), New York: IEEE, 2020: 1-5. [5] CHEN M F, TSAI C H, KU T, et al. Low temperature SoIC bonding and stacking technology for 12-/16-hi high bandwidth memory (HBM)[J]. IEEE Transactions on Electron Devices, 2020, 67(12): 5343-5348. [6] CHEN Y H, YANG C A, KUO C C, et al. Ultra high density SoIC with sub-micron bond pitch[C]// 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), New York: IEEE, 2020: 576-581. [7] MAHAJAN R, SANKMAN R, PATEL N, et al. Embedded multi-die interconnect bridge (EMIB)-a high density, high bandwidth packaging interconnect[C]// 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), New York: IEEE, 2016: 557-565. [8] LAU J H. Heterogeneous integrations[M]. Springer Singapore, 2019. [9] UCIe?. Universal Chiplet Interconnect Express. [EB/OL]. [2022-10-15]. https://www.uciexpress.org. [10] 于大全. 硅通孔三维封装技术[M]. 电子工业出版社,2021. [11] HUYLENBROECK S V, LI Y, HEYLEN N, et al. Advanced metallization scheme for 3×50 μm via middle TSV and beyond[C]//2015 IEEE 65th Electronic Components and Technology Conference (ECTC). IEEE, 2015: 66-72. [12] SUGAWARA Y, HASHIGUCHI H, TANIKAWA S, et al. Impact of deep-via plasma etching process on transistor performance in 3D-IC with via-last backside TSV[C]// 2015 IEEE 65th Electronic Components and Technology Conference (ECTC), New York: IEEE, 2015: 822-827. [13] INOUE F, PHILIPSEN H, RADISIC A, et al. Electroless Cu deposition on atomic layer deposited Ru as novel seed formation process in through-Si vias[J]. Electrochimica Acta, 2013, 100: 203-211. [14] WANG F, TIAN Y, ZHOU K, et al. Using a triblock copolymer as a single additive in high aspect ratio through silicon via (TSV) copper filling[J]. Microelectronic Engineering, 2021, 5(244/246): 111554.1-111554.7. [15]https://www.semiconductors.org/resources/2015-international-technology-roadmap-for-semiconductors-itrs[Z]. [16] 陈力,杨晓锋,于大全. 玻璃通孔技术研究进展[J]. 电子与封装,2021,21(4):040101. [17] 赵瑾,李威,钟毅,等. 玻璃通孔三维互连技术中的应力问题[J]. 机械工程学报,2022,58(2):246-258. [18] OSTHOLT R, AMBROSIUS N, KRüGER R A. High speed through glass via manufacturing technology for interposer[C]// Proceedings of the 5th Electronics System-integration Technology Conference (ESTC). IEEE, 2014: 1-3. [19] CHEN L, YU D Q. Investigation of low-cost through glass vias formation on borosilicate glass by picosecond laser-induced selective etching[J]. Journal of Materials Science: Materials in Electronics, 2021, 32(12): 16481-16493. [20] 纪执敬,凌惠琴,吴培林,等. 玻璃通孔三维互连镀铜填充技术发展现状[J]. 电化学,2022,28(6):42-61. [21] KIM J, LEE K, PARK D, et al. Application of through mold via (TMV) as PoP base package[C]// 2008 58th Electronic Components and Technology Conference. IEEE, 2008: 1089-1092. [22] ZHAO H R, CHEN M X, PENG Y, et al. TXV Technology: The cornerstone of 3D system-in-packaging[J]. Sci. Science China Technological Sciences, 2022, 65(9): 2031–2050. [23] SUKUMARAN V, CHEN Q, LIU F, et al. Through-package-via formation and metallization of glass interposers[C]// 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC). IEEE, 2010: 557-563. [24] LAU, JOHN H. Fan-out wafer-level packaging[M]. Singapore: Springer, 2018. [25] LIU F, CHANDRASEKHARAN N, ATSUSHI K, et al. Organic damascene process for 1.5-μm panel-scale redistribution layer technology using 5-μm-thick dry film photosensitive dielectrics[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2018, 8(5): 792-801. [26] GAMBINO J P, ADDERLY S A, KNICKERBOCKER J U. An overview of through-silicon-via technology and manufacturing challenges[J]. Microelectronic Engineering, 2015, 135: 73-106. [27] SEKHAR V N, TOH J S, JIN C, et al. Wafer level packaging of RF MEMS devices using TSV interposer technology[C]//2012 IEEE 14th Electronics Packaging Technology Conference (EPTC). IEEE, 2012: 231-235. [28] HONG X, YU D, HUANG Z, et al. Embedded Si fan out: A low cost wafer level packaging technology without molding and de bonding processes[C]// Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), New York: IEEE, 2017: 28-34. [29] LAU J H. Overview and outlook of through silicon via (TSV) and 3D integrations[J]. Microelectronics International, 2011, 28(2): 8-22. [30] CHUANG Y L, YUAN C S, CHEN J J, et al. Unified methodology for heterogeneous integration with CoWoS technology[C]// 2013 IEEE 63rd Electronic Components and Technology Conference. IEEE, 2013: 852-859. [31] BANIJAMALI B, CHIU C C, HSIEH C C, et al. Reliability evaluation of a CoWoS-enabled 3D IC package[C]// 2013 IEEE 63rd Electronic Components and Technology Conference. IEEE, 2013: 35-40. [32] CHEN W T, LIN C C, TSAI C H, et al. Design and analysis of logic-HBM2E power delivery system on CoWoS? platform with deep trench capacitor[C]// 2020 IEEE 70th Electronic Components and Technology Conference (ECTC). IEEE, 2020: 380-385. [33] HOU S Y, CHEN W C, HU C, et al. Wafer-level integration of an advanced logic-memory system through the Second-Generation CoWoS? technology[C]// IEEE Transactions on Electron Devices, 2017, 64(10): 4071-4077. [34] HUANG P K, LU C Y, WEI W H, et al. Wafer level system integration of the fifth generation CoWoS?-S with high performance Si interposer at 2500 mm2[C]// 2021 IEEE 71st Electronic Components and Technology Conference (ECTC). IEEE, 2021: 101-104. [35] OH R, LEE B, SHIN S W, et al. Design technologies for a 1.2 V 2.4 Gb/s/pin high capacity DDR4 SDRAM with TSVs[C]//2014 Symposium on VLSI Circuits Digest of Technical Papers. IEEE, 2014: 1-2. [36] INGERLY D B, AMIN S, ARYASOMAYAJULA L, et al. Foveros: 3D integration and the use of face-to-face chip stacking for logic devices[C]// 2019 IEEE International Electron Devices Meeting (IEDM). IEEE, 2019: 1- 4. [37] GOMES W, KHUSHU S, INGERLY D B, et al. 8.1 lakefield and mobility compute: A 3D stacked 10 nm and 22FFL hybrid processor system in 12×12 mm2, 1 mm package-on-package[C]// 2020 IEEE International Solid-State Circuits Conference-(ISSCC). IEEE, 2020: 144-146. [38] MIN M, KADIVAR S. Accelerating innovations in the new era of HPC, 5G and networking with advanced 3D packaging technologies[C]// 2020 International Wafer Level Packaging Conference (IWLPC). IEEE, 2020: 1-6. [39] CHEN M F, CHEN F C, CHIOU W C, et al. System on integrated chips (SoICTM) for 3D heterogeneous integration [C]// 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), New York: IEEE, 2019: 594-599. [40] LAU J H. Semiconductor advanced packaging[M]. Singapore: Springer Nature, 2021. [41] KAGAWA Y, FUJII N, AOYAGI K, et al. Novel stacked CMOS image sensor with advanced Cu2Cu hybrid bonding[C]//2016 IEEE International Electron Devices Meeting (IEDM). IEEE, 2016: 1-4. [42] CHEN M, LIN C, LIAO E, et al. SoIC for low temperature, multilayer 3D memory integration[C]// Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), New York: IEEE, 2020: 855-860. [43] SUKUMARAN V, KUMAR G, RAMACHANDRAN K, et al. Design, fabrication, and characterization of ultrathin 3-D glass interposers with through-package-vias at same pitch as TSVs in silicon[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2014, 4(5): 786-795. [44] HU D C, HUNG Y P, YU H C, et al. Embedded glass interposer for heterogeneous multi-chip integration[C]// IEEE 65th Electronic Components and Technology Conference (ECTC), New York: IEEE, 2015: 314-317. [45] HEDRICK B, SUKUMARAN V, FASANO B, et al. End-to-end integration of a multi-die glass interposer for system scaling applications[C]// IEEE 66th Electronic Components and Technology Conference (ECTC), New York: IEEE, 2016: 283-288. [46] WATANABE A O, LIN T H, ALI M, et al. Ultrathin antenna-integrated glass-based millimeter-wave package with through-glass vias[J]. IEEE Transactions on Microwave Theory and Techniques, 2020, 68(12): 5082-5092. [47] SU Y, YU D, RUAN W, et al. Development of compact millimeter-wave antenna by stacking of five glass wafers with through glass vias[J]. IEEE Electron Device Letters, 2022, 43(6): 934-937. [48] CHO S, JOSHI Y, SUNDARAM V, et al. Comparison of thermal performance between glass and silicon interposers[C]// 2013 IEEE 63rd Electronic Components and Technology Conference (ECTC), New York: IEEE, 2013: 1480-1487. [49] CHO S, SUNDARAM V, RAO T, et al. Multi-scale thermal modeling of glass interposer for mobile electronics application[J]. International Journal of Numerical Methods for Heat & Fluid Flow, 2016, 26(3/4): 1157-1171. [50] RAVICHANDRAN S, YAMADA S, LIU F H, et al. Low-cost non-TSV based 3D packaging using glass panel embedding (GPE) for power-efficient, high-bandwidth heterogeneous integration[C]// IEEE 69th Electronic Components and Technology Conference (ECTC), New York: IEEE, 2019: 1796-1802. [51] YU T, ZHANG X, CHEN L, et al. Development of embedded glass wafer fan-out package with 2D antenna arrays for 77 GHz millimeter-wave chip[C]// 2020 IEEE 70th Electronic Components and Technology Conference (ECTC). New York: IEEE, 2020: 31-36. [52] CHEN Z, YU D, ZHONG Y. Development of 3D wafer level hermetic packaging with through glass vias (TGVs) and transient liquid phase bonding technology for RF filter[J]. Sensors, 2022, 22(6): 2114. [53] PAN D, LI G, MIAO F, et al. 33.5 A 1.25 W 46.5%-peak-efficiency transformer-in-package isolated DC-DC converter using glass-based fan-out wafer-level packaging achieving 50 mW/mm2 power density[C]// 2021 IEEE International Solid-State Circuits Conference (ISSCC), New York: IEEE, 2021: 468-470. [54] LU Y, YU D, WAN L, et al. The redistribution layer-first embedded fan-out wafer level packaging for 2-D ultrasonic transducer arrays[J]. IEEE Electron Device Letters, 2021, 42(9): 1374-1377. [55] DUAN Z, WU B, ZHU C, et al. 14.6 A 76-to-81 GHz 2×8 FMCW MIMO radar transceiver with fast chirp generation and multi-feed antenna-in-package array[C]// IEEE International Solid-State Circuits Conference (ISSCC), New York: IEEE, 2021: 228-230.
|