电子与封装 ›› 2024, Vol. 24 ›› Issue (6): 060103 . doi: 10.16257/j.cnki.1681-1070.2024.0104
所属专题: 硅通孔三维互连与集成技术
吴鲁超,陆宇青,王珺
收稿日期:
2024-03-05
出版日期:
2024-06-25
发布日期:
2024-06-25
作者简介:
吴鲁超(1993—),男,山东菏泽人,博士研究生,现从事微电子封装领域的研究。
WU Luchao, LU Yuqing, WANG Jun
Received:
2024-03-05
Online:
2024-06-25
Published:
2024-06-25
摘要: 硅通孔(TSV)技术是3D集成封装中用于实现高密度、高性能互连的关键技术,TSV的热-力可靠性对3D集成封装的性能和寿命有直接影响。从TSV的制造工艺、结构布局、材料可靠性以及评估方法等多个方面对TSV 3D互连的热-力可靠性进行研究,对其研究方法和研究现状进行总结和阐述。此外,针对TSV尺寸减小至纳米级的发展趋势,探讨了纳米级TSV在应用于先进芯片背部供电及更高密度的芯片集成时所面临的可靠性挑战。
中图分类号:
吴鲁超,陆宇青,王珺. 硅通孔3D互连热-力可靠性的研究与展望*[J]. 电子与封装, 2024, 24(6): 060103 .
WU Luchao, LU Yuqing, WANG Jun. Research and Prospect on Thermal-Mechanical Reliability of Through Silicon Via 3D Interconnection[J]. Electronics & Packaging, 2024, 24(6): 060103 .
[1] GUPTA A, RADISIC D, MAES J W, et al. Buried power rail metal exploration towards the 1 nm node[C]// 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, 2021. [2] CAVIN R K, LUGLI P, ZHIRNOV V V. Science and engineering beyond Moore’s law[J]. Proceedings of the IEEE, 2012, 100: 1720-1749. [3] CARSON F P, KIM Y C, YOON I S. 3-D stacked package technology and trends[J]. Proceedings of the IEEE, 2009, 97(1): 31-42. [4] ZHANG Y Z, DING G F, WANG H, et al. Optimization of innovative approaches to the shortening of filling times in 3D integrated through-silicon vias (TSVs)[J]. Journal of Micromechanics and Microengineering, 2015, 25(4): 045009. [5] GAGNARD X, MOURIER T. Through silicon via: From the CMOS imager sensor wafer level package to the 3D integration[J]. Microelectronic Engineering, 2010, 87(3): 470-476. [6] KUMAR S, PARK J Y, JUNG J P. Analysis of high speed shear characteristics of Sn-Ag-Cu solder joints[J]. Electronic Materials Letters, 2011, 7(4): 365-373. [7] CHOI H S, SEO W S, CHOI D K. Prediction of reliability on thermoelectric module through accelerated life test and physics-of-failure[J]. Electronic Materials Letters, 2011, 7(3): 271-275. [8] INGERLY D B, ENAMUL K, GOMES W, et al. Foveros: 3D integration and the use of face-to-face chip stacking for logic devices[C]// 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, 2019. [9] CHEN W C, HU C, TING K C, et al. Wafer level integration of an advanced logic-memory system through 2nd generation CoWoS? technology[C]// 2017 Symposium on VLSI Technology, Kyoto, 2017. [10] SISTO G, CHEHAB B, GENNERET B, et al. IR-drop analysis of hybrid bonded 3D-ICs with backside power delivery and μ- & n- TSVs[C]// 2021 IEEE International Interconnect Technology Conference (IITC), Kyoto, 2021. [11] JOURDAIN A, STUCCHI M, VAN DER PLAS G, et al. Buried power rails and nano-scale TSV: Technology boosters for backside power delivery network and 3D heterogeneous integration[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, 2022: 1531-1538. [12] LIANG S B, KE C B, WEI C, et al. Study of the influence of elastic anisotropy of Cu on thermo-mechanical behavior and Cu protrusion of through silicon vias using combined phase field and finite element methods[J]. IEEE Transactions on Device and Materials Reliability, 2019, 19(2): 322-332. [13] KARMARKAR A P, XU X P, EL SAYED K, et al. Modeling copper plastic deformation and liner viscoelastic flow effects on performance and reliability in through silicon via (TSV) fabrication processes[J]. IEEE Transactions on Device and Materials Reliability, 2019, 19(4): 642-653. [14] FAN Z W, CHEN X, LIU Y, et al. Reliability research of TSV micro structure under thermal and vibration coupled load[C]// 2019 Prognostics and System Health Management Conference (PHM-Qingdao),Qingdao, 2019. [15] DE MESSEMAEKER J, ROUSSEL P J, PEDREIRA O V, et al. Statistical distribution of through-silicon via Cu pumping[J]. IEEE Transactions on Device and Materials Reliability, 2017, 17(3): 549-559. [16] CHEN S, QIN F, AN T, et al. Protrusion of electroplated copper filled in through silicon vias during annealing process[J]. Microelectronics Reliability, 2016, 63: 183-193. [17] DE WOLF I, CROES K, PEDREIRA O V, et al. Cu pumping in TSVs: Effect of pre-CMP thermal budget[J]. Microelectronics Reliability, 2011, 51: 1856-1859. [18] SPINELLA L, JIANG T F, TAMURA N, et al. Synchrotron X-ray microdiffraction investigation of scaling effects on reliability for through-silicon vias for 3-D integration[J]. IEEE Transactions on Device and Materials Reliability, 2019, 19(3): 568-571. [19] WU C L, HUANG R, LIECHTI K M. Characterizing interfacial sliding of through-silicon-via by nano-indentation[J]. IEEE Transactions on Device and Materials Reliability, 2017, 17(2): 355-363. [20] KHALED A, BRAND S, K?GEL M, et al. Investigating stress measurement capabilities of GHz scanning acoustic microscopy for 3D failure analysis[J]. Microelectronics Reliability, 2016, 64: 336-340. [21] DE VEEN P J, BOS C, HOOGSTEDE D R, et al. High-resolution X-ray computed tomography of through silicon vias for RF MEMS integrated passive device applications[J]. Microelectronics Reliability, 2015, 55(9/10): 1644-1648. [22] FAN Z W, LIU Y, CHEN X, et al. Research on fatigue of TSV-Cu under thermal and vibration coupled load based on numerical analysis[J]. Microelectronics Reliability, 2020, 106: 113590. [23] DAI Y W, ZHANG M, QIN F, et al. Effect of silicon anisotropy on interfacial fracture for three dimensional through-silicon-via (TSV) under thermal loading[J]. Engineering Fracture Mechanics, 2019, 209: 274-300. [24] HSIEH M C, WU S T, WU C J, et al. Energy release rate estimation for through silicon vias in 3-D IC integration[J]. IEEE Transactions on Components Packaging and Manufacturing Technology, 2014, 4(1): 57-65. [25] ZENG Q H, CHEN J, JIN Y F. Effect of radiation on reliability of through-silicon via for 3-D packaging systems[J]. IEEE Transactions on Device and Materials Reliability, 2017, 17(4): 708-712. [26] GOUSSEAU S, MOREAU S, BOUCHU D, et al. Electromigration-induced failure in operando characterization of 3D interconnects: microstructure influence[J]. Microelectronics Reliability, 2015, 55(8): 1205-1213. [27] ZISSER W, CERIC H, WEINBUB J, et al. Electromigration reliability of open TSV structures[J]. Microelectronics Reliability, 2014, 54(9/10): 2133-2137. [28] XIONG H, HUANG Z H, CONWAY P. Effects of stress and electromigration on microstructural evolution in microbumps of three-dimensional integrated circuits[J]. IEEE Transactions on Device and Materials Reliability, 2014, 14(4): 995-1004. [29] CHAN J M, LEE K C, TAN C S. Effects of copper migration on the reliability of through-silicon via (TSV)[J]. IEEE Transactions on Device and Materials Reliability, 2018, 18(4): 520-528. [30] LIN Y, ALIT APRIYANA A A, LI H Y, et al. Three-dimensional capacitor embedded in fully Cu-filled through-silicon via and its thermo-mechanical reliability for power delivery applications[C]// 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, 2020. [31] LWO B J, LIN F M S. Environmental factors affecting TSV reliability[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2016, 6(2): 185-191. [32] CHE F X, LIM S P S, CHAI T C, et al. Structure design optimization and reliability analysis on a pyramidal-shape three-die-stacked package with through-silicon via[J]. IEEE Transactions on Device and Materials Reliability, 2012, 12(2): 201-208. [33] WANG S Z, ZHANG H H, TIAN Z Q, et al. Optimization of Cu protrusion of wafer-to-wafer hybrid bonding for HBM packages application[J]. Materials Science in Semiconductor Processing, 2022, 152: 107063. [34] LI Y, GOYAL D. 3D microelectronic packaging: From fundamentals to applications[M]. Cham: Springer International Publishing, 2017. [35] JIANG T F, IM J, HUANG R, et al. Through-silicon via stress characteristics and reliability impact on 3D integrated circuits[J]. MRS Bulletin, 2015, 40(3): 248-256. [36] TSAI H Y, KUO C W. Thermal stress and failure location analysis for through silicon via in 3D integration[J]. Journal of Mechanics, 2016, 32(1): 47-53. [37] PAN Y X, LI F, HE H, et al. Effects of dimension parameters and defect on TSV thermal behavior for 3D IC packaging[J]. Microelectronics Reliability, 2017, 70: 97-102. [38] DE WOLF I, CROES K, BEYNE E. Expected failures in 3-D technology and related failure analysis challenges[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2018, 8(5): 711-718. [39] YANG H, LEE T K, MEINSHAUSEN L, et al. Heating rate dependence of the mechanisms of copper pumping in through-silicon vias[J]. Journal of Electronic Materials, 2019, 48(1): 159-169. [40] KITADA H, AKAMATSU T, MIZUSHIMA Y, et al. Thermal stress destruction analysis in low-k layer by via-last TSV structure[C]// 2015 IEEE 65th Electronic Components and Technology Conference (ECTC), San Diego, 2015: 1840-1845. [41] SELVANAYAGAM C S, LAU J H, ZHANG X W, et al. Nonlinear thermal stress/strain analyses of copper filled TSV (Through Silicon Via) and their flip-chip microbumps[J]. IEEE Transactions on Advanced Packaging, 2009, 32(4): 720-728. [42] 徐罕, 朱亚军, 戴飞虎, 等. 晶圆级封装中的垂直互连结构[J]. 电子与封装, 2021, 21(10): 100107. [43] CHENG Z Q, DING Y T, XIAO L, et al. Comparative evaluations on scallop-induced electric-thermo-mechanical reliability of through-silicon-vias[J]. Microelectronics Reliability, 2019, 103: 113512. [44] LIN P R, ZHANG G Q, VAN ZEIJL H W, et al. Effects of silicon via profile on passivation and metallization in TSV interposers for 2.5D integration[J]. Microelectronic Engineering, 2015, 134: 22-26. [45] RANGANATHAN, LEE, YOUHE, et al. Influence of Bosch etch process on electrical isolation of TSV structures[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2011, 1(10): 1497-1507. [46] 赵鸿, 李宝霞, 房玉亮, 等. 应用于有源芯片三维集成的小孔径高深宽比TSV刻蚀工艺[J]. 微纳电子技术, 2020, 57(9): 748-753. [47] MORIKAWA Y, MURAYAMA T, SAKUISHI T, et al. A novel scallop free TSV etching method in magnetic neutral loop discharge plasma[C]// 2012 IEEE 62nd Electronic Components and Technology Conference, San Diego, 2012: 794-795. [48] HWANG S, CARR W N, YOON Y K. Atmospheric HF vapor based silicon etching with Pt catalyst for high fidelity through silicon via (TSV) fabrication[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, 2022: 898-902. [49] FU J Y, LI J J, YU J H, et al. Improving sidewall roughness by combined RIE-Bosch process[J]. Materials Science in Semiconductor Processing, 2018, 83: 186-191. [50] 王硕, 杨发顺, 马奎. 多次热氧化削减Si通孔内壁扇贝纹[J]. 人工晶体学报, 2021, 50(6): 1131-1137. [51] 王硕, 马奎, 杨发顺. TSV可靠性综述[J]. 电子技术应用, 2021, 47(2): 1-6. [52] CHIANG C H, KUO L M, HU Y C, et al. Sealing bump with bottom-up Cu TSV plating fabrication in 3-D integration scheme[J]. IEEE Electron Device Letters, 2013, 34(5): 671-673. [53] 薛彤, 张国华, 杨轶博. 多种结构Si通孔热应力仿真分析[J]. 微电子学, 2015, 45(6): 820-824. [54] HOU C, QIU Y, ZHAO X, et al. A numerical study on thermal deformation of through silicon via with electroplating defect[J]. Multidiscipline Modeling in Materials and Structures, 2024, 20(1): 115-125. [55] WANG J J, MA L M, FENG J Y, et al. Study on thermal shock and annealing behavior of Sn3Ag0.5Cu-TSV prepared by modified molten metal infiltration method[J]. Journal of Electronic Materials, 2022, 51(7): 4054-4062. [56] ZHU Q S, TODA A, ZHANG Y, et al. Void-free copper filling of through silicon via by periodic pulse reverse electrodeposition[J]. Journal of the Electrochemical Society, 2014, 161(5): D263-D268. [57] SONG M, WEI Z Q, WANG B Y, et al. Study on copper protrusion of through-silicon via in a 3-D integrated circuit[J]. Materials Science and Engineering, A. Structural Materials: Properties, Microstructure and Processing, 2019, 755: 66-74. [58] 李明浩, 王俊强, 闫欣雨, 等. 应用于MEMS封装的TSV热可靠性分析[J]. 微电子学, 2021, 51(5): 756-760. [59] HERYANTO A, PUTRA W N, TRIGG A, et al. Effect of copper TSV annealing on via protrusion for TSV wafer fabrication[J]. Journal of Electronic Materials, 2012, 41(9): 2533-2542. [60] LIN P C, XU J H, LU H L, et al. The effect of inhibitors on the electrochemical deposition of copper through-silicon via and its CMP process optimization[J]. Journal of Semiconductor Technology and Science, 2017, 17(3): 319-325. [61] RAO C, WANG T Q, WANG J, et al. Improvement of via dishing and non-uniformity in TSV chemical mechanical planarization[J]. Microelectronic Engineering, 2016, 151: 38-46. [62] PAN K, LAI Y Y, XU J F, et al. Parametric study of the geometry design of through-silicon via in silicon interposer[C]// 2022 21st IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (iTherm), San Diego, 2022. [63] 张翼, 薛齐文, 刘旭东. TSV封装通孔形态参数对焊点热疲劳寿命的影响[J]. 半导体技术, 2015, 40(9): 684-691. [64] WANG Y, ZHANG T, FENG C L, et al. Multiphysics coupling analysis and structural optimization of high density TSVs in microsystems[C]// 2022 23rd International Conference on Electronic Packaging Technology (ICEPT), Dalian, 2022. [65] PANG J W, WANG J. The thermal stress analysis for IC integrations with TSV interposer by complement sector models[J]. Journal of Electronic Materials, 2014, 43(9): 3423-3435. [66] 马瑞, 苏梅英, 刘晓芳, 等. TSV电迁移影响因素的有限元分析[J]. 电子元件与材料, 2019, 38(2): 93-97. [67] CHE F X, PUTRA W N, HERYANTO A, et al. Study on Cu protrusion of through-silicon via[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2013, 3(5): 732-739. [68] 杨志清, 潘中良. 三维集成电路中TSV的热特性研究[J]. 半导体光电, 2019, 40(6): 820-825. [69] JEONG I H, ESLAMI MAJD A, JUNG J P, et al. Electrical and mechanical analysis of different TSV geometries[J]. Metals, 2020, 10(4): 467. [70] 丁英涛, 陈志伟, 程志强, 等. 退火工艺对于TSV结构热-机械可靠性影响研究[J]. 北京理工大学学报, 2020, 40(5): 519-525. [71] QIAO J P, JIAO B B, JIA S Q, et al. Low-stress TSVs for high-density 3D integration[C]//2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, 2023: 606-611. [72] 孙汉, 王玮, 陈兢, 等. Si通孔(TSV)的工艺引入热应力及其释放结构设计[J]. 应用数学和力学, 2014, 35(3): 295-304. [73] LIU Z Y, JIANG H, ZHU Z Y, et al. Thermal-mechanical and signal reliability of a new differentiated TSV[J]. IEEE Transactions on Electron Devices, 2022, 69(10): 5766-5772. [74] 杨志清, 潘中良. 基于金属基复合材料的Si通孔热应力仿真分析[J]. 电子元件与材料, 2020, 39(5): 97-102. [75] XU P, HUANG H, ZHANG B Q, et al. Thermal performance analysis of carbon materials based TSV in three dimensional integrated circuits[J]. IEEE Access, 2023, 11: 75285-75294. [76] LIAO S D, HUANG C Y, ZHANG H Q, et al. Thermal stress study of 3D IC based on TSV and verification of thermal dissipation of STI[C]// 2021 22nd International Conference on Electronic Packaging Technology (ICEPT), Xiamen, 2021. [77] 俞箭飞, 江五贵. 穿透Si通孔互连结构的湿-热应力有限元分析[J]. 半导体技术, 2012, 37(11): 889-893. [78] NI H, HE L, CHEN H, et al. Reliability simulation and life prediction of TSV under a thermoelectric coupling field in a 3D integrated circuit[J]. Journal of Electronic Materials, 2021, 50(6): 3592-3602. [79] CHEN Z H, SONG X H, LIU S. Thermo-mechanical characterization of copper filled and polymer filled tsvs considering nonlinear material behaviors[C]//2009 59th Electronic Components and Technology Conference, San Diego, 2009: 1374-1380. [80] ZARE Y, SASAJIMA Y, ONUKI J. Evaluation of Cu-TSV barrier materials as a solution to copper protrusion[J]. Journal of Electronic Materials, 2020, 49(3): 2076-2085. [81] 聂磊, 黄一凡, 蔡文涛, 等. 基于热电耦合激励的TSV三维封装内部缺陷识别方法研究[J]. 半导体光电, 2021, 42(5): 692-697, 703. [82] NIE L, HUANG Y F, YIN Y H, et al. Internal defect identification method of TSV 3D packaging based on built-in integrated sensor[J]. Advances in Mechanical Engineering, 2022, 14(9): 211-214. [83] KIM T H, KANG D C, KIM J N, et al. Through-silicon via device non-destructive defect evaluation using ultra-high-resolution acoustic microscopy system[J]. Materials, 2023, 16(2): 860. [84] 秦飞, 沈莹, 陈思. Si通孔转接板封装结构多尺度问题的有限元模型[J]. 工程力学, 2015, 32(10): 191-197. [85] 邹梦强, 苏密勇, 余华. 基于电-热-结构耦合模型的Si通孔热力瞬态响应[J]. 科学技术与工程, 2019, 19(19): 157-163. [86] CHE F X, LI H Y, ZHANG X W, et al. Development of wafer-level warpage and stress modeling methodology and its application in process optimization for TSV wafers[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2012, 2(6): 944-955. [87] LEE C C, HUANG P C, CHIAN B T. Development and demonstration of equivalent material characteristics for microbump arrays utilized in failure estimation of chip-on-chip packaging[C]// 2016 15th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), Las Vegas, 2016: 262-267. [88] MA H, YU D Q, WANG J. The development of effective model for thermal conduction analysis for 2.5D packaging using TSV interposer[J]. Microelectronics Reliability, 2014, 54(2): 425-434. [89] LIANG S B, WEI C, KE C B, et al. Investigation of the interaction effect between the microstructure evolution and the thermo-mechanical behavior of Cu-filled through silicon via[J]. IEEE Transactions on Device and Materials Reliability, 2022, 22(2): 267-275. [90] ZENG X, HUANG Z H, WANG S J, et al. Simulation on interplay between morphological evolution and defect in polycrystalline TSV during EM[J]. IEEE Transactions on Electron Devices, 2024, 71(1): 322-330. [91] RYU S K, LU K H, ZHANG X F, et al. Impact of near-surface thermal stresses on interfacial reliability of through-silicon vias for 3-D interconnects[J]. IEEE Transactions on Device and Materials Reliability, 2011, 11(1): 35-43. [92] LU K H, ZHANG X F, RYU S K, et al. Thermo-mechanical reliability of 3-D ICs containing through silicon vias[C]//2009 59th Electronic Components and Technology Conference, San Diego, 2009: 630-634. [93] KIRIHATA T, GOLZ J, WORDEMAN M, et al. Three-dimensional dynamic random access memories using through-silicon-vias[J]. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2016, 6(3): 373-384. [94] NOMURA T, MORI R, TAKAYANAGI K, et al. Design challenges in 3-D SoC stacked with a 12.8 GB/s TSV wide I/O DRAM[J]. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2016, 6(3): 364-372. [95] LEE Y J, LIM S K. Ultrahigh density logic designs using monolithic 3-D integration[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2013, 32(12): 1892-1905. [96] MURUGESAN M, MORI K, KOJIMA T, et al. Nano Ni/Cu-TSVs with an improved reliability for 3D-IC integration application[C]//2020 31st Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), Saratoga Springs, 2020. [97] RYCKAERT J, GUPTA A, JOURDAIN A, et al. Extending the roadmap beyond 3nm through system scaling boosters: A case study on buried power rail and backside power delivery[C]// 2019 Electron Devices Technology and Manufacturing Conference (EDTM), Singapore, 2019: 50-52. [98] JOURDAIN A, SCHLEICHER F, DE VOS J, et al. Extreme wafer thinning and nano-TSV processing for 3D heterogeneous integration[C]// 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, 2020: 42-48. [99] HOSSEN M O, CHAVA B, VAN DER PLAS G, et al. Power delivery network (PDN) modeling for backside-PDN configurations with buried power rails and μTSVs[J]. IEEE Transactions on Electron Devices, 2020, 67(1): 11-17. [100] HAFEZ W, AGNIHOTRI P, ASORO M, et al. Intel powervia technology: Backside power delivery for high density and high-performance computing[C]// 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, 2023. [101] KOBRINSKY M, SILVA J D, MANNEBACH E, et al. Novel cell architectures with back-side transistor contacts for scaling and performance[C]// 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, 2023. [102] CHEN R, SISTO G, JOURDAIN A, et al. Design and optimization of SRAM macro and logic using backside interconnects at 2nm node[C]// 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, 2021: 498-501. [103] KIM D H, KIM S, LIM S K. Impact of nano-scale through-silicon vias on the quality of today and future 3D IC designs[C]// Proceedings of the System Level Interconnect Prediction Workshop, San Diego, 2011: 1-8. [104] YANG K Y, KIM D H, LIM S K. Design quality tradeoff studies for 3D ICs built with nano-scale TSVs and devices[C]// Thirteenth International Symposium on Quality Electronic Design (ISQED), Santa Clara, 2012: 740-746. [105] VELOSO A, JOURDAIN A, RADISIC D, et al. Scaled FinFETs connected by using both wafer sides for routing via buried power rails[J]. IEEE Transactions on Electron Devices, 2022, 69(12): 7173-7179. [106] GUPTA A, PEDREIRA O V, TAO Z, et al. Buried power rail scaling and metal assessment for the 3 nm node and beyond[C]// 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, 2020. |
[1] | 徐艳博;王志杰;刘美;孙志美;牛继勇. 铝焊盘镀钯铜丝多焊球脱焊失效的电化学评价[J]. 电子与封装, 2024, 24(8): 80205-. |
[2] | 张威;刘坤鹏;张沄渲;于沐瀛;王尚;田艳红. PBGA焊点形态对疲劳寿命的影响*[J]. 电子与封装, 2024, 24(8): 80206-. |
[3] | 韩文静;冯春苗;刘发;袁海. 混合集成电路元器件的黏接渗胶问题研究[J]. 电子与封装, 2024, 24(8): 80207-. |
[4] | 张威;刘坤鹏;王宏;杭春进;王尚;田艳红. 基于能量最小化的CCGA焊点形态仿真研究*[J]. 电子与封装, 2024, 24(8): 80208-. |
[5] | 孙浩洋,姬峰,冯青华,兰元飞,王建扬,王明伟. 面向快速散热的HTCC基板微流道性能研究*[J]. 电子与封装, 2024, 24(7): 70203-. |
[6] | 周阳磊,吕海强,何日吉,周舟. 高温服役电子元器件的焊接工艺研究*[J]. 电子与封装, 2024, 24(7): 70205-. |
[7] | 李圣贤,丁增千. 倒装芯片的底部填充工艺研究[J]. 电子与封装, 2024, 24(7): 70208-. |
[8] | 张旋,李海娟,吴道伟,张雷. 2.5D TSV转接板无损检测方法的研究[J]. 电子与封装, 2024, 24(6): 60102-. |
[9] | 徐成,樊嘉祺,张宏伟,王华,陈天放,刘丰满. 硅转接板制造与集成技术综述[J]. 电子与封装, 2024, 24(6): 60106-. |
[10] | 何慧敏, 廖成意, 刘丰满, 戴风伟, 曹睿. 集成硅基转接板的PDN供电分析[J]. 电子与封装, 2024, 24(6): 60108-. |
[11] | 马书英, 付东之, 刘轶, 仲晓羽, 赵艳娇, 陈富军, 段光雄, 边智芸. 硅通孔三维互连与集成技术[J]. 电子与封装, 2024, 24(6): 60109-. |
[12] | 张爱兵, 李洋, 姚昕, 李轶楠, 梁梦楠. 基于硅通孔互连的芯粒集成技术研究进展[J]. 电子与封装, 2024, 24(6): 60110-. |
[13] | 王九如,朱智源. 基于TSV的三维集成系统电热耦合仿真设计[J]. 电子与封装, 2024, 24(6): 60113-. |
[14] | 谢勇,肖雨辰,唐会毅,王云春,侯兴哲,吴华,吴保安,谭生,孙玲. 射频组件用键合金带的研究进展*[J]. 电子与封装, 2024, 24(5): 50201-. |
[15] | 梁笑笑,吴海峰. 混合集成电路的自动上芯吸嘴开发[J]. 电子与封装, 2024, 24(5): 50202-. |
阅读次数 | ||||||
全文 |
|
|||||
摘要 |
|
|||||
访问总数: 当日访问总数: 当前在线:
版权所有 © 2019-2024 中国电子科技集团公司第五十八研究所 苏ICP备11028747号
地址:江苏省无锡市滨湖区惠河路5号 邮编:214035 电话:0510-85860386 电子邮箱:ep.cetc58@163.com
本系统由北京玛格泰克科技发展有限公司设计开发