电子与封装 ›› 2025, Vol. 25 ›› Issue (5): 050108 . doi: 10.16257/j.cnki.1681-1070.2025.0121
• “面向先进封装应用的铜互连键合技术”专题 • 上一篇 下一篇
杨刚力,常柳,于道江,李亚男,朱宏佳,丁子扬,李力一
收稿日期:
2025-02-19
出版日期:
2025-06-04
发布日期:
2025-04-11
作者简介:
杨刚力(1997—),男,安徽宿州人,博士研究生,主要研究方向为超高密度混合键合工艺。
YANG Gangli, CHANG Liu, YU Daojiang,LI Ya’nan,ZHU Hongjia, DING Ziyang, LI Liyi
Received:
2025-02-19
Online:
2025-06-04
Published:
2025-04-11
摘要: 随着晶体管微缩逐渐放缓,先进封装和三维集成技术成为集成电路系统性能持续提升的重要路径。混合键合是一种具有高密度三维集成能力的键合工艺,在人工智能芯片制造等应用中扮演日益重要的角色。铜焊盘是混合键合负责信号传输与供电的接口,其物理化学特性决定了工艺的良率和可靠性。目前,国际领先半导体企业在混合键合铜焊盘工艺领域已取得显著进展,不仅建立了高密度金属化-等离子体活化-低温键合的系统化工艺流程,且通过技术迭代将铜焊盘的关键尺寸(直径与节距)微缩至亚微米级。相比之下,我国高校及科研机构在混合键合铜焊盘的研究中尚处于初期探索阶段,与国际先进水平相比,在实现超高密度混合键合的工艺能力与技术积累上仍存在显著差距。系统探讨了铜焊盘的几何形状、表面化学特性及晶粒组织对键合性能的影响,总结了该领域的优化策略及技术调整,为提高混合键合工艺能力的相关研究提供了参考。
中图分类号:
杨刚力, 常柳, 于道江, 李亚男, 朱宏佳, 丁子扬, 李力一. 混合键合中铜焊盘的微纳结构设计与工艺优化研究进展*[J]. 电子与封装, 2025, 25(5): 050108 .
YANG Gangli, CHANG Liu, YU Daojiang, LI Ya’nan, ZHU Hongjia, DING Ziyang, LI Liyi. Research Progress on Micro-Nano Structure Design and Process Optimization of Copper Pad in Hybrid Bonding[J]. Electronics & Packaging, 2025, 25(5): 050108 .
[1] CHEN Z W, ZHANG J J, WANG S Z, et al. Challenges and prospects for advanced packaging[J]. Fundamental Research, 2024, 4(6): 1455-1458. [2] LI T, HOU J, YAN J L, et al. Chiplet heterogeneous integration technology: status and challenges[J]. Electronics, 2020, 9(4): 670. [3] 钟毅, 江小帆, 喻甜, 等. 芯片三维互连技术及异质集成研究进展[J]. 电子与封装, 2023, 23(3): 030102. [4] ZHOU A Q, ZHANG Y, DING F, et al. Research progress of hybrid bonding technology for three-dimensional integration[J]. Microelectronics Reliability, 2024, 155: 115372. [5] 张明辉, 高丽茵, 刘志权, 等. 先进封装铜-铜直接键合技术的研究进展[J]. 电子与封装, 2023, 23(3): 030106. [6] MA K, BEKIARIS N, HSU C H, et al. 0.5 μm pitch wafer-to-wafer hybrid bonding at low temperatures with SiCN bond layer[C]// 2024 IEEE 74th Electronic Components and Technology Conference (ECTC), Denver, CO, USA, 2024. [7] HUANG Y C, LIN Y X, HSIUNG C K, et al. Cu-based thermocompression bonding and Cu/dielectric hybrid bonding for three-dimensional integrated circuits (3D ICs) application[J]. Nanomaterials, 2023, 13(17): 2490. [8] ZHANG B Y, CHEW S A, STUCCHI M, et al. Scaling Cu/SiCN wafer-to-wafer hybrid bonding down to 400 nm interconnect pitch[C]// 2024 IEEE 74th Electronic Components and Technology Conference (ECTC), Denver, CO, USA, 2024. [9] KIM S W, FODOR F, HEYLEN N, et al. Novel Cu/SiCN surface topography control for 1 μm pitch hybrid wafer-to-wafer bonding[C]// 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2020. [10] YANG S C, ONG J J, TRAN D P, et al. Fabrication of highly (111)-oriented nanotwinned Cu in fine-pitch vias for Cu/SiO2 hybrid bonding[C]// 2023 International Conference on Electronics Packaging (ICEP), Kumamoto, Japan, 2023. [11] CHEN Y A, ONG J J, CHIU W L, et al. Surface modification by wet treatment for low-temperature Cu/SiO2 hybrid bonding[C]// 2024 International Conference on Electronics Packaging (ICEP), Toyama, Japan, 2024. [12] URATA A, KAMEI T, SAKAMOTO A, et al. Novel three-layer stacking process with face-to-back CoW 6 μm-pitch hybrid bonding[C]// 2024 IEEE 74th Electronic Components and Technology Conference (ECTC), Denver, CO, USA, 2024. [13] KAGAWA Y, FUJII N, AOYAGI K, et al. Novel stacked CMOS image sensor with advanced Cu2Cu hybrid bonding[C]// 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2016. [14] 马盛林, 张桐铨. 面向先进封装应用的混合键合技术研究进展[J]. 微电子学与计算机, 2023, 40(11): 22-42. [15] KIM J, KIM H, HONG S, et al. Investigation on pad surface conditioner to control dishing amount in Cu damascene process[C]// 2014 12th International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks, Hammamet, Tunisia, 2014. [16] PARK J, LEE B, LEE H, et al. Wafer to wafer hybrid bonding for DRAM applications[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 126-129. [17] DU H Z, LIU S B, YANG Y, et al. Dishing study on chemical mechanical planarization (CMP)[C]// 2024 Conference of Science and Technology for Integrated Circuits (CSTIC), Shanghai, China, 2024. [18] DU T, TAMBOLI D, DESAI V, et al. Mechanism of copper removal during CMP in acidic H2O2 slurry[J]. Journal of the Electrochemical Society, 2004, 151(4): G230. [19] 李子豪, 周建伟, 王辰伟, 等. 新型抑制剂对铜膜CMP后碟形坑与蚀坑的影响[J]. 电子元件与材料, 2022, 41(12): 1367-1373. [20] 于志坚. 铜的化学机械抛光液研究[D]. 大连:大连理工大学,2018. [21] DAS S D, PASDAST G, QIAN Z G, et al. Universal chiplet interconnect express (UCIe): an open industry standard for innovations with chiplets at package level[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2022, 12(9): 1423-1431. [22] HANEDA M, IKEGAMI Y, KOTOO K, et al. Wafer-on-wafer-on-wafer (WoWoW) integration having large-scale high reliability fine 1 μm pitch face-to-back (F2B) Cu-Cu connections and fine 6 μm pitch TSVs[C]// 2024 IEEE 74th Electronic Components and Technology Conference (ECTC), Denver, CO, USA, 2024. [23] HAHN S H, KIM W, SHIN D, et al. Contamination-free Cu/SiCN hybrid bonding process development for sub- μm pitch devices with enhanced bonding characteristics[C]// 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2023. [24] TALUKDAR T, ELSHERBINI A, RAWLINGS B, et al. Process development and performance benefits of 0.64-0.36 μm pitch hybrid bonding on intel process[C]// 2024 IEEE 74th Electronic Components and Technology Conference (ECTC), Denver, CO, USA, 2024. [25] CHEEMALAMARRI H K, ANH T V N, GUAN C G, et al. Low thermal budget fine-pitch Cu/dielectric hybrid bonding with Cu microstructure modifications[C]// 2024 IEEE 74th Electronic Components and Technology Conference (ECTC), Denver, CO, USA, 2024. [26] FAURE M, DUBARRY C, RENAUD P, et al. Ultra low temperature hybrid bonding: morphological and electrical characterizations[C]// 2024 IEEE 10th Electronics System-Integration Technology Conference (ESTC), Berlin, Germany, 2024. [27] MIHARA K, HARE T, SAKAI H, et al. D2W hybrid bonding system achieving high-accuracy and high-throughput with minimal configurations[C]// 2024 IEEE 74th Electronic Components and Technology Conference (ECTC), Denver, CO, USA, 2024. [28] KIM Y, KIM J, KIM H, et al. Die to wafer hybrid Cu bonding for fine pitch 3D-IC applications[C]// 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2023. [29] LIU W M, LIU Z Y, WANG Y, et al. Thermal finite element simulation of ultrafine pitch chip-to-wafer hybrid bonding[C]// 2023 24th International Conference on Electronic Packaging Technology (ICEPT), Shihezi City, China, 2023: 1-5. [30] LIN H E, TRAN D P, CHIU W L, et al. In-situ measurement of thermal expansion in Cu/SiO2 hybrid structures using atomic force microscopy at elevated temperatures[J]. Applied Surface Science, 2024, 662: 160103. [31] BEYNE E, KIM S W, PENG L, et al. Scalable, sub 2μm pitch, Cu/SiCN to Cu/SiCN hybrid wafer-to-wafer bonding technology[C]// 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017. [32] OKUDUR O O, MESSEMAEKER D J, SALAHOUELHADJ A, et al. Thermomechanical aspects of wafer-to-wafer copper-dielectric hybrid bonding for 3D integrated circuits[C]// The International Conference on Wafer Bonding - Waferbond, Leuven, Belgium, 2017. [33] SART C, ESTEVEZ R, FIORI V, et al. Cu/SiO2 hybrid bonding: finite element modeling and experimental characterization[C]// 2016 6th Electronic System-Integration Technology Conference (ESTC), Grenoble, France, 2016: 1-7. [34] LIN T C, LIANG C L, WANG S B, et al. Inhibiting the detrimental Cu protrusion in Cu through-silicon-via by highly (111)-oriented nanotwinned Cu[J]. Scripta Materialia, 2021, 197: 113782. [35] HUNG T H, KANG T C, MAO S-Y, et al. Investigation of wet pretreatment to improve Cu-Cu bonding for hybrid bonding applications[C]// 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 700-705. [36] ONG J J, TRAN D P, CHIU W L, et al. Potassium hydroxide surface modification for low temperature Cu/SiO2 hybrid bonding[J]. Surfaces and Interfaces, 2023, 40: 103076. [37] YANG W H, AKAIKE M, FUJINO M, et al. A combined process of formic acid pretreatment for low-temperature bonding of copper electrodes[J]. ECS Journal of Solid State Science and Technology, 2013, 2(6): 271-274. [38] SUGA T, AKAIKE M, YANG W H. Formic acid treatment with Pt catalyst for Cu direct and hybrid bonding at low temperature[C]// 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2014: 1143-1147. [39] KIM M K, PARK S, JANG A, et al. Characterization of die-to-wafer hybrid bonding using heterogeneous dielectrics[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 335-339. [40] KIM H, KIM J, KIM Y, et al. Process and design optimization for hybrid Cu bonding void[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 194-197. [41] KIM W, LEE Y, CHOI W, et al. Plasma chamber environment control to enhance bonding strength for wafer-to-wafer bonding processing[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 2008-2012. [42] HWANG B, KIM S, LEE J, et al. A study on the surface activation of Cu and oxide for hybrid bonding joint interface[C]// 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2023: 78-82. [43] OLBRECHTS B, ZHANG X X, BERTHOLET Y, et al. Effect of interfacial SiO2 thickness for low temperature O2 plasma activated wafer bonding[J]. Microsystem Technologies, 2006, 12(5): 383-390. [44] KIM S W, PENG L, MILLER A, et al. Permanent wafer bonding in the low temperature by using various plasma enhanced chemical vapour deposition dielectrics[C]// 2015 International 3D Systems Integration Conference (3DIC), Sendai, Japan, 2015. [45] LEE R-J, HE P S, CHIU W L, et al. Characterization of surface activation on nanotwinned copper and SiCN by using Ar and N2 plasma[C]// 2024 International Conference on Electronics Packaging (ICEP), Toyama, Japan, 2024: 77-78. [46] INOUE F, NAGATA A, FUSE J Y, et al. Low temperature wafer level hybrid bonding enabled by advanced SiCN and surface activation[C]// 2024 IEEE 74th Electronic Components and Technology Conference (ECTC), Denver, CO, USA, 2024: 69-75. [47] HU L X, GOH S C K, TAO J, et al. In-depth parametric study of Ar or N2 plasma activated Cu surfaces for Cu-Cu direct bonding[C]// 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 420-425. [48] HU L X, GOH S C K, LIM Y D, et al. Multi-die to wafer bonding through plasma-activated Cu-Cu direct bonding in ambient conditions[C]// 2021 IEEE International 3D Systems Integration Conference (3DIC), Raleigh, NC, USA, 2021: 1-5. [49] HU L X, LIM Y D, ZHAO P, et al. Plasma-activated Cu-Cu direct bonding in ambient for die-die and die-wafer bonding[C]// 2022 6th IEEE Electron Devices Technology & Manufacturing Conference (EDTM), Oita, Japan, 2022: 276-278. [50] HUANG Y-P, CHIEN Y-S, TZENG R N, et al. Novel Cu-to-Cu bonding with Ti passivation at 180 ℃ in 3-D integration[J]. IEEE Electron Device Letters, 2013, 34(12): 1551-1553. [51] PANIGRAHI A K, BONAM S, GHOSH T, et al. Ultra-thin Ti passivation mediated breakthrough in high quality Cu-Cu bonding at low temperature and pressure[J]. Materials Letters, 2016, 169: 269-272. [52] LIU D M, CHEN P C, CHOU T C, et al. Demonstration of low-temperature fine-pitch Cu/SiO2 hybrid bonding by Au passivation[J]. IEEE Journal of the Electron Devices Society, 2021, 9: 868-875. [53] CHOU T C, HUANG S Y, CHEN P J, et al. Electrical and reliability investigation of Cu-to-Cu bonding with silver passivation layer in 3-D integration[J]. IEEE Transactions on Components Packaging and Manufacturing Technology, 2021, 11(1): 36-42. [54] HONG Z-J, LIU D M, HU H-W, et al. Ultra-high strength Cu-Cu bonding under low thermal budget for chiplet heterogeneous applications[C]// 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 347-352. [55] TAN C S, LIM D F, ANG X F, et al. Low temperature Cu-Cu thermo-compression bonding with temporary passivation of self-assembled monolayer and its bond strength enhancement[J]. Microelectronics Reliability, 2012, 52(2): 321-324. [56] PARK H S, KIM S E. Effect of Ar-N2 plasma treatment on copper surface for Cu-to-Cu wafer bonding[C]// 2018 IEEE 20th Electronics Packaging Technology Conference (EPTC), Singapore, Singapore, 2018: 758-762. [57] PARK H, SEO H, KIM S E. Anti-oxidant copper layer by remote mode N2 plasma for low temperature copper-copper bonding[J]. Scientific Reports, 2020, 10(1): 21720. [58] PARK H, SEO H, KIM S E. Characteristics of copper nitride nanolayer used in 3D Cu bonding interconnects[J]. Electronic Materials Letters, 2021, 17(5): 392-398. [59] LU L, SHEN Y F, CHEN X H, et al. Ultrahigh strength and high electrical conductivity in copper[J]. Science, 2004, 304(5669): 422-426. [60] HSIAO H Y, LIU C M, LIN H W, et al. Unidirectional growth of microbumps on (111)-oriented and nanotwinned copper[J]. Science, 2012, 336(6084): 1007-1010. [61] YANG Z-H, WU P C, CHUANG T H. Effects of substrate bias on the sputtering of high density (111)-nanotwinned Cu films on SiC chips[J]. Scientific Reports, 2022, 12: 15408. [62] OU J C, TSAI Y Y, LIN T C, et al. Thermal stability and bonding interface in Cu/SiO2 hybrid bonding on nano-twinned copper[J]. AIP Advances, 2022, 12(6): 065201. [63] CHIU W L, LEE O H, CHIANG C W, et al. Low temperature wafer-to-wafer hybrid bonding by nanotwinned copper[C]// 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2021: 365-370. [64] ONG J J, CHIU W L, LEE O H, et al. Low-temperature Cu/SiO2 hybrid bonding with low contact resistance using (111)-oriented Cu surfaces[J]. Materials, 2022, 15(5): 1888. [65] CHEN K X, GAO L Y, LI Z, et al. Research progress of electroplated nanotwinned copper in microelectronic packaging[J]. Materials, 2023, 16(13): 4614. [66] GAO L Y, WAN Y Q, LEI Z G, et al. Prospective application of nanotwinned copper for Damascene via filling and hybrid bonding[C]// 2023 24th International Conference on Electronic Packaging Technology (ICEPT), Shihezi City, China, 2023: 1-6. [67] YANG S C, TRAN D P, ONG J J, et al. Periodic reverse electrodeposition of (111)-oriented nanotwinned Cu in small damascene SiO2 vias[J]. Journal of Electroanalytical Chemistry, 2023, 935: 117328. [68] YANG G L, SHI T L, CHANG L, et al. A study on regulating the residual stress of electroplated Cu by manipulating the nanotwin directions[J]. Micromachines, 2024, 15(11): 1370. [69] CUI B Z, HAN K, XIN Y, et al. Highly textured and twinned Cu films fabricated by pulsed electrodeposition[J]. ACTA Materialia, 2007, 55(13): 4429-4438. [70] CHAN T C, CHUEH Y L, LIAO C N. Manipulating the crystallographic texture of nanotwinned Cu films by electrodeposition[J]. Crystal Growth & Design, 2011, 11(11): 4970-4974. [71] ZHANG J M, XU K W, JI V. Dependence of strain energy on the grain orientations in an FCC-polycrystalline film on rigid substrate[J]. Applied Surface Science, 2002, 185(3/4): 177-182. [72] 朱若林, 代泽宇, 宋言, 等. 聚二硫二丙烷磺酸钠对高抗拉锂电铜箔性能的影响[J]. 电镀与涂饰, 2021, 40(16): 1250-1253. [73] CHEN P X, LI C Y, HAN S L, et al. Abnormal grain growth of (110)-oriented perpendicular nanotwinned copper into ultra-large grains at low temperatures[J]. Journal of Materials Science & Technology, 2024, 203: 61-65. [74] GüNTHER B, KUMPMANN A, KUNZE H D. Secondary recrystallization effects in nanostructured elemental metals[J]. Scripta Metallurgica et Materialia, 1992, 27(7): 833-838. [75] GLEITER H. Diffusion in nanostructured metals[J]. Physica Status Solidi B-Basic Research, 1992, 172(1): 41-51. [76] LU L, TAO N R, WANG L B, et al. Grain growth and strain release in nanocrystalline copper[J]. Journal of Applied Physics, 2001, 89(11): 6408-6414. [77] WANG Y, HUANG Y T, LIU Y X, et al. Thermal instability of nanocrystalline Cu enables Cu-Cu direct bonding in interconnects at low temperature[J]. Scripta Materialia, 2022, 220: 114900. [78] MIRKARIMI L, UZOH C, SUWITO D, et al. The influence of Cu microstructure on thermal budget in hybrid bonding[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 162-167. [79] BURTON B, GREENWOOD G W. The contribution of grain-boundary diffusion to creep at low stresses[J]. Metal Science Journal, 1970, 4(1): 215-218. [80] COBLE R L. A model for boundary diffusion controlled creep in polycrystalline materials[J]. Journal of Applied Physics, 1963, 34(6): 1679-1682. [81] HE C, ZHOU J Z, ZHOU R, et al. Nanocrystalline copper for direct copper-to-copper bonding with improved cross-interface formation at low thermal budget[J]. Nature Communications, 2024, 15(1): 7095. [82] CHIU W L, LEE O H, KUO T Y, et al. Low temperature and fine pitch nanocrystalline Cu/SiCN wafer-to-wafer hybrid bonding[C]// 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2023: 1105-1109. [83] MA K, BEKIARIS N, RAMASWAMI S, et al. 0.5 ?m pitch wafer-to-wafer hybrid bonding with SiCN bonding interface for advanced memory[C]// 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2023: 1110-1114. [84] RIM D, LEE B, PARK J, et al. The Wafer Bonding yield improvement through control of SiCN Film composition and Cu Pad Shape[C]// 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2022: 674-678. |
[1] | 吴艺雄, 杜韵辉, 陶泽明, 钟毅, 于大全. 混合键合界面接触电阻及界面热阻研究进展*[J]. 电子与封装, 2025, 25(5): 50101-. |
[2] | 刘旭东, 撒子成, 李浩喆, 李嘉琦, 田艳红. 先进铜填充硅通孔制备技术研究进展*[J]. 电子与封装, 2025, 25(5): 50103-. |
[3] | 白玉斐,戚晓芸,牛帆帆,康秋实,杨佳,王晨曦. 面向高密度互连的混合键合技术研究进展*[J]. 电子与封装, 2025, 25(5): 50102-. |
[4] | 陈桂, 邵云皓, 屈新萍. 三维集成铜-铜低温键合技术的研究进展[J]. 电子与封装, 2025, 25(5): 50106-. |
[5] | 张冉远, 翁铭, 黄文俊, 张昱, 杨冠南, 黄光汉, 崔成强. 先进封装中铜柱微凸点互连技术研究进展*[J]. 电子与封装, 2025, 25(5): 50109-. |
[6] | 宋海涛,王霄,龚平,朱霞,李杨,刘璋成,闫大为,陈治伟,尤杰,敖金平. GaN芯片封装技术研究进展与趋势*[J]. 电子与封装, 2025, 25(3): 30112-. |
[7] | 陈祎;岳琨;吕复强;姚大平. 集成电路异构集成封装技术进展[J]. 电子与封装, 2024, 24(9): 90207-. |
[8] | 刘冠东;王伟豪;万智泉;段元星;张坤;李洁;戚定定;王传智;李顺斌;邓庆文;张汝云. 晶上系统:设计、集成及应用[J]. 电子与封装, 2024, 24(8): 80201-. |
[9] | 徐成,樊嘉祺,张宏伟,王华,陈天放,刘丰满. 硅转接板制造与集成技术综述[J]. 电子与封装, 2024, 24(6): 60106-. |
[10] | 范泽域, 王方成, 刘强, 黄明起, 叶振文, 张国平, 孙蓉. 三维集成电路先进封装中聚合物基材料的研究进展*[J]. 电子与封装, 2024, 24(6): 60107-. |
[11] | 马书英, 付东之, 刘轶, 仲晓羽, 赵艳娇, 陈富军, 段光雄, 边智芸. 硅通孔三维互连与集成技术[J]. 电子与封装, 2024, 24(6): 60109-. |
[12] | 张爱兵, 李洋, 姚昕, 李轶楠, 梁梦楠. 基于硅通孔互连的芯粒集成技术研究进展[J]. 电子与封装, 2024, 24(6): 60110-. |
[13] | 戚晓芸,马岩,杜玉,王晨曦. 无凸点混合键合三维集成技术研究进展*[J]. 电子与封装, 2024, 24(6): 60114-. |
[14] | 刘冰. 无助焊剂甲酸回流技术在铜柱凸点回流焊中的应用[J]. 电子与封装, 2024, 24(10): 100401-. |
[15] | 田文超;谢昊伦;陈源明;赵静榕;张国光. 人工智能芯片先进封装技术[J]. 电子与封装, 2024, 24(1): 10204-. |
阅读次数 | ||||||
全文 |
|
|||||
摘要 |
|
|||||
访问总数: 当日访问总数: 当前在线:
版权所有 © 2019-2024 中国电子科技集团公司第五十八研究所 苏ICP备11028747号
地址:江苏省无锡市滨湖区惠河路5号 邮编:214035 电话:0510-85860386(林编辑);0510-85868956(俞编辑,史编辑) 电子邮箱:ep.cetc58@163.com
本系统由北京玛格泰克科技发展有限公司设计开发